数字逻辑-数字电子钟

数字逻辑-数字电子钟
数字逻辑-数字电子钟

数字逻辑综合性实验设计报告

课程名称数字逻辑实验

题目名称数字电子钟

班级

学号

学生姓名

同组班级

同组学号

同组姓名

指导教师武俊鹏、孟昭林、刘书勇、赵国冬

2014年06 月

摘要

【小四号字体】

关键词:数字,电子钟。

数字电子钟是一种用数字电路技术实现时、分秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

数字电子钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字电子钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。

经过了数字电路设计这门课程的系统学习,特别经过了关于组合逻辑电路与时序逻辑电路部分的学习,我们已经具备了设计小规模集成电路的能力,借由本次设计的机会,充分将所学的知识运用到实际中去。

本次课程设计要求设计一个数字电子钟,基本要求为数字电子钟的时间周期为24小时,数字电子钟显示时、分、秒、星期,数字电子钟的时间基准一秒对应现实生活中的时钟的一秒。供扩展的方面涉及到定时自动报警,按时自动打铃、定时广播、定时启闭路灯等。因此,研究数字电子钟及扩大其应用,有着非常现实的意义。

目录1.实验目的及需求分析

2.实验器材及主要器件

3.数字电子钟基本原理

4.数字电子钟制作与调试

5.数字电子钟电路图

6.实验结论

7. 实验心得

1、实验目的及需求分析

(1)实验目的

①掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

②进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;

③提高电路布局﹑布线及检查和排除故障的能力;

④培养书写综合实验报告的能力。

(2)需求分析

①基本功能要求

用中小规模集成电路设计一台能显示日、时、分、秒的数字电子钟,要求如下:

由晶振电路产生1Hz标准秒信号;

秒、分为00~59六十进制计数器;

时为00~23二十四进制计数器;

日显示从1~7为七进制计数器。

可手动校正:能分别进行秒、分、时、日的校正。只要将开关置于手动位置,可分别对秒,分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。

整点报时。整点报时电路要求在每个整点前呜叫五次低音(500Hz),整点时再呜叫一次高音(1000Hz)。

1.2 创新拓展功能

闹钟、秒表功能。

2、实验器材及主要器件

(1)实验器材:

①数字逻辑试验箱

②74LS161

74ls161引脚图与管脚功能表资料

74LS161是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能:

管脚图介绍:

时钟CP和四个数据输入端P0~P3

清零/MR

使能CEP,CET

置数PE

数据输出端Q0~Q3

以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET)

输入输出

Cp CP L D EP ET D3D2D1D0Q3Q2Q1Q0

0 ФФФФФФФФ0 0 0 0

1 ↑0 ФФ d c b a d c b a 1 ↑ 1 0 ФФФФФQ3Q2Q1Q0 1 ↑ 1 Ф0 ФФФФQ3Q2Q1Q0 1 ↑ 1 1 1 ФФФФ状态码加1

从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当

CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位

输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

③74LS00

74ls00是常用的2输入四与非门集成电路,他的作用很简单顾名思义就是实现一个与非门。TTL与非门高电平4V左右吧低电平1V左右。

输入输出

A B Y

0 0 1

1 0 1

0 1 1

1 1 0

③74LS00

二输入与门。74LS08芯片内有共四路二输入端与门

输入输出

A B Y

0 0 0

1 0 0

0 1 0

1 1 1

④74LS32

74LS32是通用数字电路:四2输入或门。 Y=A+B 以集成块的一侧有缺口的为左起:左下1--1A,2--1B, 3--1Y;4--2A,5--2B,6--2Y;7--GND;右起:右上8--3Y,9--3A,10--3B;11--4Y,12--4A, 13--4B;14--VCC 其中A,B为输入端,Y为输出端,GND为电源负极,VCC为电源正极。

输入输出

A B Y

0 0 0

1 0 1

0 1 1

1 1 1

⑤导线若干

3.数字电子钟基本原理

①脉冲发生器

秒脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形。分频获得1Hz 的秒脉冲。如晶振为32768Hz ,通过15次二分频后可获得1Hz 的脉冲输出,电路图如图7.8.2所示。

②计时译码显示

秒、分、时、日分别为60、60、24和7进制计数器。秒、分均为六十进制,即显示00~59,它们的个位为十进制,十位为六进制。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。

日为七进制数(以周为周期),按人们一般的概念一周的显示为星期“日、1、2、3、4、5、6”,所以设计

为七进制计数器,应根据译码显示器的状态表来进行,如表7.4.1所示。

按表7.8.1不难设计出“日”计数器的电路(日用数字8代)。

所有计数器的译码显示均采用BCD-七段译码器,显示器采用共阴或共阳的显示器。

Q 4 Q 3

Q 2 Q 1 显示 1 0 0 0 日 0 0 0 1 1 0

1

2

表7.8.1 状态表

0 0 1 1 3

0 1 0 0 4

0 1 0 1 5

0 1 1 0 6

(1)秒计时

用两片74LS161芯片连接两个液晶管。其中一个芯片的是十进制的,另一个芯片是六进制的。实现上述的进制,需要在特定状态的时候清零。并且在特定的时候进位。关于何时进位何时清零请见下图真值表。

D C B A Y

0 0 0 0 0

0 0 0 1 1

0 0 1 0 2

0 0 1 1 3

0 1 0 0 4

0 1 0 1 5

0 1 1 0 6

0 1 1 1 7

1 0 0 0 8

1 0 0 1 9

1 0 1 0 10

秒的个位是十进制,秒的个位的脉冲接的是1HZ脉冲,这样确保每秒秒的个位都会进位一次,跟现实时间同步。秒的个位计数器到达十的时候进位给秒的十位。同时用一个与非门,连接BD接口,然后输出连接到LS74161的~CLR端,这样当计数器到达十的时候,会自动变成0,形成十进制的循环计数器。秒的个位进位是秒的十位的时钟脉冲,也就是说第二块连接秒的十位的74LS161的CLK端接的是秒的个位进位。这样当秒的个位循环进位一次,秒的十位就进位一次。秒的十位是六进制,也就是说当秒的十位计数器到达六的时候,进位一次并且清零一次。六的真值表示为BC端为1,所以把BC端连接一个与非门,然后输出连接

到第二块74LS161的~CLR端,这样当计数器到达六的时候,会自动变成9,形成六进制的循环计数器。如下图:

(2)分计时

用两片74LS161芯片连接两个液晶管。其中一个芯片的是十进制的,另一个芯片是六进制的。实现上述的进制,需要在特定状态的时候清零。并且在特定的时候进位。关于何时进位何时清零请见下图真值表。

D C B A Y

0 0 0 0 0

0 0 0 1 1

0 0 1 0 2

0 0 1 1 3

0 1 0 0 4

0 1 0 1 5

0 1 1 0 6

0 1 1 1 7

1 0 0 0 8

1 0 0 1 9

1 0 1 0 10

分的个位是十进制,分的个位脉冲接的是秒十位的进位,也就是说当秒从60归零的时候,分的个位进一位。分个位计数器到达十的时候进位给分的十位。同时用一个与非门,连接BD接口,然后输出连接到LS74161的~CLR端,这样当分的个位计数器到达十的时候,会自动变成0,形成十进制的循环计数器。分的个位进位是分的十位的时钟脉冲,也就是说第二块连接分的十位的74LS161的CLK 端接的是分的个位进位。这样当分的个位循环进位一次,秒的十位就进位一次。秒的十位是六进制,也就是说当分的十位计数器到达六的时候,进位一次并且清零一次。六的真值表示为BC端为1,所以把BC端连接一个与非门,然后输出连接到第二块74LS161的~CLR端,这样当计数器到达六的时候,会自动变成9,形成六进制的循环计数器。如下图:

(3)时计时

用两片74LS161芯片连接两个液晶管。其中一个芯片的是十进制的,另一个芯片是六进制的。实现上述的进制,需要在特定状态的时候清零。并且在特定的时候进位。关于何时进位何时清零请见下图真值表。

D C B A Y

0 0 0 0 0

0 0 0 1 1

0 0 1 0 2

0 0 1 1 3

0 1 0 0 4

0 1 0 1 5

0 1 1 0 6

0 1 1 1 7

1 0 0 0 8

1 0 0 1 9

1 0 1 0 10

时的个位是十进制,时的个位脉冲接的是分十位的进位,也就是说当分从60归零的时候,时的个位进一位。时的个位计数器到达十的时候进位给时的十位。同时用一个与非门,连接BD接口,然后输出连接到LS74161的~CLR端,这样当分的个位计数器到达十的时候,会自动变成0,形成十进制的循环计数器。时的个位进位是时的十位的时钟脉冲,也就是说第二块连接时的十位的74LS161的CLK端接的是时的个位进位。这样当时的个位循环进位一次,时的十位就进位一次。时的十位进位比较特殊,它是在十位显示二,个位显示四的时候进位,所以,需要用两个与非门分别连接时的十位和时的个位,这样就可以在时显示24的时候进位给星期了,并且当时的十位进位的时候,个位自动清零,也就是说,清零端要引出来两根线,一根连接第一个芯片的~CLR,第二根连接第二个芯片的~CLR,这里需要特别注意,否则就会在时的十位清零后,个位继续循环变成五。如下图:

(4)星期计时

周计时是一块74LS161的芯片,连接一个液晶显示屏,这块芯片要变成六进位的,分别对应着现实生活中的周一到周日。它的时钟脉冲端接的是时的进位,当时的十位从二变成零和时的个位从四变成零的时候,星期进一。如果要实现六进制计数循环,需要在BC端引出来两根线,接一个与非门后连接到这块芯片的~CLR端,这样就实现了星期六进制循环计数器了。如下图所示:

(5)校对功能

每一块表都能校对,这次设计的这个数字电子钟同样也应该有校对的功能,关于校对其实很简单,只要把各块74LS161芯片的时钟端调整一下就可以了,具体做法是从1hz的脉冲引出来一根线,并且在各块芯片的时钟端引出来一根线,这两根线一连接就会校对相应的时间,注意,要把原来的时钟端拔下来。举个例子,当你要校对分的时候,你就把分的个位的时钟脉冲拔下来,并且把1hz引出来的脉冲线连接到时钟脉冲上,这样你的分就会跟秒一样进位,当你调整好后,

就可以再连回去,这样就完成了时钟的校对。如下图所示:

(6)秒表

秒表的实现其实很简单,就是把脉冲的频率扩大一百倍,这样秒就变成了毫秒,分就变成了秒,而且可以在外面接一个开关,当开关闭合的时候,就接通时钟脉冲,计时开始,当开关打开的时候,时钟脉冲断了,这样就能显示当前计时的时间了。

(7)整点报时

整点报时是在分的十位和个位,秒的个位都清零的时候,引出来一根线连接蜂鸣器,当整点的时候,蜂鸣器就会响一下,我想好多电子表都是这么设计的。具体实现起来也比较简单,分的十位和个位,秒的十位和个位的四块74LS161芯片的ABCD端引出来,分别连接与非门,如果有十六输入与非门就更好了,没有的话就用现有的74LS00与非门做出来一个,这样就会完成整点报时的功能,如下图所示:

1 需求分析

1.1 基本功能要求

【小四号字体】

1.2 创新拓展功能

【小四号字体】

1.3 设计原理

【小四号字体】1.4

2 系统设计

2.1 系统逻辑结构设计

【小四号宋体】,可按照电路模块来组织本节内容。要求有系统设计化简过程(包括公式推导)、系统逻辑电路图、时序图、真值表、卡诺图、状态转移图(或表)等必要的信息,对文中的每张图表都要在正文中予以引用并给出必要的解释说明,如工作原理和流程等。

图表要求有标准的图名和标号,如图2.1、表2.1所示。

图2.1 秒显示电路图

元器件中英文对照

proteus元件库介绍 AND 与门 ANTENNA 天线BATTERY 直流电源 BELL 铃,钟 BVC 同轴电缆接插件BRIDGE 1 整流桥(二极管) BRIDGE 2 整流桥(集成块) BUFFER 缓冲器BUZZER 蜂鸣器 CAP 电容 CAPACITOR 电容CAPACITOR POL 有极性电容CAPVAR 可调电容CIRCUIT BREAKER 熔断丝COAX 同轴电缆 CON 插口 CRYSTAL 晶体振荡器 DB 并行插口 DIODE 二极管 DIODE SCHOTTKY 稳压二极管DIODE VARACTOR 变容二极管

DPY_3-SEG 3段LED DPY_7-SEG 7段LED DPY_7-SEG_DP 7段LED(带小数点) ELECTRO 电解电容 FUSE 熔断器 INDUCTOR 电感 INDUCTOR IRON 带铁芯电感INDUCTOR3 可调电感 JFET N N沟道场效应管 JFET P P沟道场效应管 LAMP 灯泡 LAMP NEDN 起辉器 LED 发光二极管 METER 仪表MICROPHONE 麦克风 MOSFET MOS管 MOTOR AC 交流电机 MOTOR SERVO 伺服电机 NAND 与非门 NOR 或非门 NOT 非门 NPN NPN三极管

NPN-PHOTO 感光三极管OPAMP 运放 OR 或门 PHOTO 感光二极管 PNP 三极管 NPN DAR NPN三极管PNP DAR PNP三极管 POT 滑线变阻器 PELAY-DPDT 双刀双掷继电器RES1.2 电阻 RES3.4 可变电阻RESISTOR BRIDGE ? 桥式电阻RESPACK ? 电阻 SCR 晶闸管 PLUG ? 插头 PLUG AC FEMALE 三相交流插头SOCKET ? 插座 SOURCE CURRENT 电流源SOURCE VOLTAGE 电压源SPEAKER 扬声器 SW ? 开关 SW-DPDY ? 双刀双掷开关

常见数字逻辑器件中文注解.pdf

常见数字逻辑器件中文注解型号器件名称厂牌 SN7400四2输入端与非门 SN7401四2输入端与非门(OC) SN7402四2输入端或非门TI SN7403四2输入端与非门(OC)TI SN7404六反相器TI SN7405六反相器(OC)TI SN7406六高压输出反相器(OC,30V)TI SN7407六高压输出缓冲,驱动器(OC,30V)TI SN7408四2输入端与门TI SN7409四2输入端与门(OC)TI SN7410三3输入端与非门TI SN7412三3输入端与非门(OC)TI SN7413双4输入端与非门TI SN7414六反相器TI SN7416六高压输出反相缓冲/驱动器TI SN7417六高压输出缓冲/驱动器(OC,15V)TI SN7420双4输入端与非门TI SN7422双4输入端与非门(OC)TI SN7423可扩展双4输入端或非门TI SN7425双4输入端或非门TI SN7426四2输入端高压输出与非缓冲器TI SN7427三3输入端或非门TI SN7428四2输入端或非缓冲器TI SN74308输入端与非门TI SN7432四2输入端或门 74系列:: 74LS00TTL2输入端四与非门 74LS01TTL集电极开路2输入端四与非门 74LS02TTL2输入端四或非门 74LS03TTL集电极开路2输入端四与非门 74LS04TTL六反相器 74LS05TTL集电极开路六反相器 74LS06TTL集电极开路六反相高压驱动器 74LS07TTL集电极开路六正相高压驱动器 74LS08TTL2输入端四与门 74LS09TTL集电极开路2输入端四与门 74LS10TTL3输入端3与非门 74LS107TTL带清除主从双J-K触发器 74LS109TTL带预置清除正触发双J-K触发器

数字逻辑知识点总结

1、三极管的截止条件是V BE <0.5V ,截止的特点是I b =I c ≈0;饱和条件是 I b ≥(E C -Vces )/(β·R C ),饱和的特点是V BE ≈0.7V ,V CE =V CES ≤0.3V 。 2、逻辑常量运算公式 3、逻辑变量、常量运算公式 4、 逻辑代数的基本定律 根据逻辑变量和逻辑运算的基本定义,可得出逻辑代数的基本定律。 ①互非定律: A+A = l ,A ? A = 0 ;1=+A A ,0=?A A ; ②重叠定律(同一定律):A ? A=A , A+A=A ; ③反演定律(摩根定律):A ? B=A+B 9 A+B=A ? B B A B A ?=+,B A B A +=?; ④还原定律: A A = ch2. 1、三种基本逻辑是与、或、非。 2、三态输出门的输出端可以出现高电平、底电平和高阻三种状态。

1、组合电路的特点:电路任意时刻输出状态只取决于该时刻的输入状态,而与该时刻前的电路状态无关。 2、编码器:实现编码的数字电路 3、译码器:实现译码的逻辑电路 4、数据分配器:在数据传输过程中,将某一路数据分配到不同的数据通道上。 5、数据选择器:逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号。 6、半加器:只考虑两个一位二进制数相加,而不考虑低位进位的运算电路。 7、全加器:实现两个一位二进制数相加的同时,再加上来自低位的进位信号。 8、在数字设备中,数据的传输是大量的,且传输的数据都是由若干位二进制代码0和1组合而成的。 9、奇偶校验电路:能自动检验数据信息传送过程中是否出现误传的逻辑电路。 10、竞争:逻辑门的两个输入信号从不同电平同时向相反电平跳变的现象。 11、公式简化时常用的的基本公式和常用公式有(要记住): 1)()()C A B A BC A ++=+ 2)B A AB += B A B A +=+ (德.摩根定律) 3)B A B A A +=+ 4)B A AB BC B A AB +=++ 5)AB B A B A B A +=+ B A B A AB B A +=+ 12、逻辑代数的四种表示方法是真值表、函数表达式、卡诺图和逻辑图。 ch4. 1、触发器:具有记忆功能的基本逻辑单元。 2、触发器能接收、保存和输出数码0,1。各类触发器都可以由门电路组成。 3、基本触发器特点 1)有两个稳定状态和两个互补的输出。 2)在输入信号驱动下,能可靠地确定其中任一种状态。 4、基本RS 触发器特性表 -R -S Q -Q 说明 0 1 0 1 置0 1 0 1 0 置1 1 1 0或1 1或0 保持原来状态 0 0 1 1 不正常状态,0信号消失后,触发器状态不定

元器件中英文对照(20210310002714)

proteus 元件库介绍 AND ANTENNA BATTERY BELL BVC BRIDGE 1 BRIDGE 2 BUFFER BUZZER CAP CAPACITOR CAPACITOR POL CAPVAR CIRCUIT BREAKER COAX CON CRYSTAL DB DIODE DIODE SCHOTTKY DIODE VARACTOR 与门 天线直流电源 铃,钟同轴电缆接插件整 流桥(二极管)整流桥 (集成块)缓冲器蜂鸣器 电容电容有极性电容可 调电容熔断丝同轴电缆 插口晶体振荡器并行插口 二极管 稳压二极管 变容二极管 3段LED 7段LED 7段LED(带小数 点) 电解电容 熔断器 电感带铁芯电感可调电感 N 沟道场效应管 P 沟道场效应管灯泡 起辉器 发光二极管 仪表 麦克风 MOS 管 交流电机 伺服电机与非门 DPY_3-SEG DPY_7-SEG DPY_7-SEG_DP ELECTRO FUSE INDUCTOR INDUCTOR IRON INDUCTOR3 JFET N JFET P LAMP LAMP NEDN LED METER MICROPHONE MOSFET MOTOR AC MOTOR SERVO NAND

或非门 非门 NPN 三极管 感光三极管 运放 或门 感光二极管 三极管 NPN 三极管 PNP 三极管 滑线变阻器 双刀双掷继电器 电阻 可变电阻 桥式电阻 电阻 晶闸管 插头 三相交流插头 插座 电流源 电压源 扬声器 开关 双刀双掷开关 单刀单掷开关 按钮 电热调节器 变压器 可调变压器 三端双向可控硅 三极真空管 变阻器 齐纳二极管 数码管 开关 驱动门 二极管 与非门 非门 与门 双十进制计数器 NOR NOT NPN NPN-PHOTO OPAMP OR PHOTO PNP NPN DAR PNP DAR POT PELAY-DPDT RES1.2 RES3.4 RESISTOR BRIDGE RESPACK ? SCR PLUG ? PLUG AC FEMALE SOCKET ? SOURCE CURRENT SOURCE VOLTAGE SPEAKER SW ? SW-DPDY ? SW-SPST ? SW-PB THERMISTOR TRANS1 TRANS2 TRIAC ? TRIODE ? VARISTOR ZENER ? DPY_7-SEG_DP SW-PB 7407 1N914 74Ls00 74LS04 74LS08 74LS390 TTL

数字逻辑器件_按功能分类_触发器锁存器等

数字逻辑器件触发器 CD40106BM:六施密特触发器 CD4013BE:具有置位/复位功能双D型触发器 CD4013BM:具有置位/复位功能双D型触发器 CD40174BE:六D触发器 CD40174BM:六D触发器 CD40175BE:COMS四D触发器 CD4027BM96:双JK触发器 CD4093BE:二输入端四与非施密特触发器 CD4093BM:二输入端四与非施密特触发器 SN74ABT374ADW:八边沿D触发器(带3态输出) SN74ABT377ADW:八边沿D触发器(带4态输出) SN74ABT573ADW:八边沿D触发器(带4态输出) SN74ABT574ADW:八边沿D触发器(带4态输出) SN74AC574DW:八上升沿D触发器(三态输出) SN74AC74N:双上升沿D触发器(带清除和预置) SN74ACT14D:六反相施密特触发器 SN74ACT374DW:八上升沿D触发器(三态同相输出) SN74ACT374N:八上升沿D触发器(三态同相输出) SN74ACT534N:八上升沿D触发器(三态反相输出) SN74ACT564N:八上升沿D触发器(三态反相输出) SN74ACT74D:双上升沿正D触发器(有预置和清除端) SN74ACT74N:双上升沿正D触发器(有预置和清除端) SN74AHC273DW:八D触发器(带清除) SN74AHC273N:八D触发器(带清除) SN74AHC374DW:八上升沿D触发器(三态同相输出) SN74AHC374N:八上升沿D触发器(三态同相输出) SN74AHC574DW:八上升沿D触发器(三态同相输出) SN74AHC574DWR:八上升沿D触发器(三态同相输出) SN74AHC574N:八上升沿D触发器(三态同相输出) SN74AHC74D:双上升沿D触发器(有预置和清除端) SN74AHC74N:双上升沿D触发器(有预置和清除端) SN74AHC74NSR:双上升沿D触发器(有预置和清除端) SN74AHC74PW:双上升沿D触发器(有预置和清除端) SN74AHCT374DW:八上升沿D触发器(三态同相输出) SN74AHCT374N:八上升沿D触发器(三态同相输出) SN74AHCT574DW:八上升沿D触发器(三态同相输出) SN74AHCT574N:八上升沿D触发器(三态输出) SN74AHCT74D:双上升沿D触发器(有预置和清除端) SN74AHCT74N:双上升沿D触发器(有预置和清除端) SN74ALS109AN:双JK触发器 SN74ALS174N:六上升沿D触发器(有清除端)

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.()10=()2 =(1A.2)16 2.()10=()2 3.(1011111.01101)2=( )8=()10 4.()8=()16 5.(1011)2×(101)2=(110111)2 6.(486)10=(0)8421BCD =(0)余3BCD 7.()10=()8421BCD 8.()8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。

21.函数D =的最小项表达式为Y= ∑m(1,3,9,11,12,13,14,15)。 Y+ AB B 22.约束项是不会出现的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F(A,B,C)=∏M(1,3,4,6,7),则F(A,B,C)=∑m( 0,2,5)。 24.VHDL的基本描述语句包括并行语句和顺序语句。 25.VHDL的并行语句在结构体中的执行是并行的,其执行方式与语句书写的顺序无关。 26.在VHDL的各种并行语句之间,可以用信号来交换信息。 27.VHDL的PROCESS(进程)语句是由顺序语句组成的,但其本身却是并行语句。 28.VHDL顺序语句只能出现在进程语句内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL的数据对象包括常数、变量和信号,它们是用来存放各种类型数据的容器。 30.下列各组数中,是6进制的是。 A.14752 B.62936 C.53452 D.37481 31.已知二进制数,其对应的十进制数为。 A.202 B.192 C.106 D.92 32.十进制数62对应的十六进制数是。 A.(3E)16 B.(36)16 C.(38)16 D.(3D)16 33.和二进制数()2等值的十六进制数是。 A.()16 B.()16 C.()16 D.()16 34.下列四个数中与十进制数(163)10不相等的是。 A.(A3)16 B.()2 C.(0001)8421BCD D.(1)8 35.下列数中最大数是。

proteus常用元器件中英文对照表

proteus常用元器件 AND 与门 ANTENNA天线 BA TTERY直流电源 BUFFER 缓冲器 BUZZER 蜂鸣器 CAP 电容 CAPACITOR 电容 CRYSTAL 晶体整荡器 DIODE 二极管 FUSE 熔断器 INDUCTOR 电感 LAMP 灯泡 LED 发光二极管 METER 仪表 MICROPHONE 麦克风 MOTOR 电机 NAND 与非门 NOR 或非门 NOT 非门 NPN NPN三极管 OPAMP 运放 OR 或门 PNP 三极管 POT 滑线变阻器 RESPACK 排阻 SCR 晶闸管 SOCKET 插座 SPEAKER 扬声器 SW- 开关类 TRIAC 三端双向可控硅 TRIODE 三极真空管 V ARISTOR 变阻器 7407 驱动门 1N914 二极管 74Ls00 与非门 74LS04 非门 74LS08 与门 74LS390 TTL 双十进制计数器 7SEG 4针BCD-LED 输出从0-9 对应于4根线的BCD码7SEG 3-8译码器电路BCD-7SEG转换电路 ALTERNA TOR 交流发电机 BA TTERY电池/电池组 BUS 总线

CLOCK 时钟信号源 CRYSTAL 晶振 GROUND 地 LED-RED 红色发光二极管 LM016L 2行16列液晶可显示2行16列英文字符,有8位数据总线D0-D7,RS,R/W,EN三个控制端口(共14线),工作电压为5V。没背光,和常用的1602B功能和引脚一样(除了调背光的二个线脚) LOGICPROBE 逻辑探针 LOGICSTA TE 逻辑状态用鼠标点击,可改变该方框连接位置的逻辑状态 LOGICTOGGLE 逻辑触发 POT-LIN 三引线可变电阻器 POWER 电源 RES 电阻 RESISTOR 电阻器 VOLTMETER 伏特计 Electromechanical 电机 Inductors 变压器 proteus元件总目录中英文对照表及说明 category目录 capacitor:电容类(包含各种电容) cmos 4000 series:cmos4000系列 connectors:排座,排插类 data converters:数据转化器类 debugging tools:调试工具类 diode:二极管类 ecl 10000 series:常用集成电路 electromechanical:电机类 inductors:电感类 laplace primitives:拉普拉斯变换 mechanins:三角/星形连接电机 memory ics:记忆芯片类 microprocessor:微处理器/单片机芯片 miscellaneous:常用混合类(含天线、电池、串行接口、晶振、保险丝、红外隔离装置)modelling primitives:各种仿真器件,没有pcb。 operational amplifier:集成运算放大器 optoelectronics:光电子输出器件(包含各类数码管,液晶显示器) picaxe pld&fpgas:可编程逻辑器件 resistors:电阻类 simulator primitives:常用器件 speakers&sounders:扩音器类 switchs&relays:开关、继电器类

数字逻辑

数字逻辑课程设计 学院计算机工程学院班级 姓名学号 成绩指导老师杨建富 2013 年1 月4 日

数字逻辑课程设计报告 一、设计目的 1.熟悉555定时器的电路结构和工作原理。 2.掌握用555定时器组成多谐振荡器的方法。 3.掌握集成计数器的使用及功能测试。 4.熟悉显示译码器的使用方法。 5.掌握用74LS90分频的方法。 6.掌握数码管的显示方法。 二、设计内容 1.用555电路产生频率为1KHZ的方波脉冲。 2.通过分频产生频率为1HZ的时钟脉冲。 3.完成分、秒计时,通过译码并用数码显示。 三、实验器材 74LS90十进制计数器4个 74LS48二进制七段译码器2个 74LS92 十二分频计数器1个 NE555定时器1个 七段译码显示器2个 电容0.1μF、0.01μF1个、1个 电阻 3.9 kΩ、5.1kΩ各一个 导线若干 逻辑电路板1个 四、设计思想 利用555定时器构成的多谐振荡器为电路提供脉冲源以驱动电路工作。用555电路产生频率为1KHZ的方波脉冲,然后用3个74LS90将频率逐渐分成100HZ,10HZ,1HZ。74LS90可以实现十分频,使输入到计数器的频率为1HZ,经过计数器的计数,再通过74LS48译码器的译码,在数码显示器上的数字变化就为每秒一个,当数值达到60时,计数器的秒钟就清零,而分钟显示“1”,就可以实现数字钟的功能。计数器实现了对时间的累计,并以8421BCD码形式输出,选用74LS48作为显示译码电路,选用七段数码管作为显示单元电路。 五.实验原理 1.七段译码器和数码管实现数字显示 (1)七段发光二极管数码管 七段LED数码管有共阴极和共阳极两种,试验中使用共阴极型数码管,它的逻辑符号和内部电路如图1-1所示,要求配用相应的译码\驱动器。小型数码管的每端发光二极管的正向电压,随显示光的颜色略有区别,通常约占有2V,点亮电流在5-10mA。

第1,2 章 数字逻辑基础,逻辑门电路习题答案

第1 章数字逻辑基础 1.3 将下列十进制数转换成等值的二进制数、八进制数、十六进制数。要求二进制数保留小数点后4位有效数字。 (1)(19)D ;(2)(37.656)D ;(3)(0.3569)D 解: (19)D=(10011)B=(23)O=(13)H (37.656)D=(.1010)B=(45.5176)O=(25.A7E)H (0.3569)D=(0.01011)B=(0.266)O=(0.5B)H 1.4 将下列八进制数转换成等值的二进制数。 (1)(137)O ;(2)(36.452)O ;(3)(0.1436)O 解: (137)O=(1 011 111)B (36.452)O=(11110. )B (0.1436)O=(0.001 100 011 11)B 1.5 将下列十六进制数转换成等值的二进制数。 (1)(1E7.2C)H ;(2)(36A.45D)H ;(3)(0.B4F6)H 解: (1E7.2C)H=(1 1110 0111.0010 11)B (36A.45D)H=(11 0110 1010. 0100 0101 1101)B (0.B4F6)H=(0.1011 0100 1111 011)B 1.6 求下列BCD码代表的十进制数。 (1)(10101.)8421BCD ; (2)(00101.)余3 BCD ; (3)(00011.)2421BCD; (4)(01011.)5421BCD ; 解: (1000 0110 0011 0101.1001 0111)8421BCD=(8635.97)D (1011 0110 1100 0101.1001 0111)余3 BCD =(839.24)D (1110 1101 0100 0011.1101 1011)2421BCD=(8743.75)D (1010 1011 1000 1011.1001 0011)5421BCD=(7858.63)D 1.7 试完成下列代码转换。 (1)(00011.)2421BCD = (?)余3 BCD (2)(01011.)5421BCD= (?)8421BCD 解: (1110 1101 0100 0011.1101 1011)2421BCD = (1011 1010 0111 0110.1010 1000 )余3 BCD

电子元件中英文对照

一.电子元器件Electronic Components 1.保险元器件safety device (1)保险丝座 fuse block (2)电流保险丝 current fuse (3)其他保险元器件 other (4)温度保险丝 temperature fuse (5)温度开关 temperature switches (6)自恢复熔断器since the resumption of fuse 2. 变频器transducer (1)PLC 变频器 PLC transducer (2)高性能通用变频器High-performance Universal transducer (3)恒功率变频器Constant Power Inverter (4)恒转矩变频器Constant Torque converter (5)专用变频器Exclusive Inverter 3.变压器transformer (1)电源变压器Power Transformer (2)隔离变压器the isolation transformer (3)恒压变压器constant voltage transformer (4)脉冲变压器pulse transformer (5)其他变压器other transformers (6)音频变压器Audio transformers (7)自耦变压器autotransformer (8)耦合变压器coupling transformer 4.场效应管voltage controller 5. 传感器sensor (1)电磁传感器Power Transformer (2)光电传感器Photoelectric Sensors (3)光纤传感器Fiber Optic Sensors (4)加速度传感器Accelerometer (5)接近传感器Proximity sensor (6)料位、液位传感器Level, liquid level sensor (7)压力传感器Pressure Sensor (8)振动传感器Vibration Sensor (9)气体传感器Gas Sensor (10)声波传感器Acoustic sensor (11)视觉、图像传感器Visual, image sensor (12)水分、湿度传感器Moisture and humidity sensor (13)位移传感器Displacement sensor (14)敏感元件传感器Sensor sensor 6.传声器microphone 7.电容器capacitor (1)玻璃电容器Glass capacitors (2)玻璃釉电容器Glazed glass capacitors (3)复合介质电容器Composite Dielectric Capacitors

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据

常用器件中英文对照表

常用器件对照表BATTERY直流电源 POWER电源 SOURCE CURRENT电流源 SOURCE VOLTAGE电压源 RES3.4可变电阻 BESISTOR BRIDGE 桥式电阻RESPACK?电阻 RESISTOR电阻器 POT-LIN三引线可变电阻器 POT滑线变阻器 VARISTOR变阻器 CAPACITORS电容集合 ELECTRO电解电容 CAP电容 CAPACTTOR电容 CAPACITOR POL有极性电容CAPVAR可调电容 DUCTOR电感 IN INDUCTOR3可调电感INDUCTOR IRON带铁芯电感PHOTO感光二极管

LED发光二极管 DIODE二极管 DIODE SCHOTTKY稳压二极管DIODE VARACTOR 变容二极管PNP NPN三极管 NPN-PHOTO感光三极管OPAMP运算放大器 MOSFET MOS场效应管 JFET N N沟道场效应管JFET P P沟道场效应管SW?开关 SW-DPDY双刀双掷开关 SW-SPST单刀单掷开关 SW-PB按钮 LAMP灯泡 METER仪表 PLUG插头 SOCKET插座 FUSE保险丝 GROUND接地 AMMETER-MILL mA安培计VOLTMETER伏特计

VOLTMTER-MILLI Mv伏特计ELECTROMRCHANICA电机 MOTOR AC交流电机 ALTERNATOR交流发电机 INDUCTORS变压器 TRANS1变压器 TRANS2可调变压器 CIRCUIT BREAKER熔断丝 FUSE熔断器 LAMP NEDN启辉器 MICROPHONE麦克风 SPEAKER扬声器 THERMISTOR电热调节器 LOGIC ANALYSE逻辑分析器R LOGICPROBE逻辑探针 LOGICPROBE[BIG]逻辑探针 DEBUGGING TOOLS调试工具 LAPLACE PRIMITIVES拉普拉斯变换OPTOELECTRONICS各种发光器件发光二极管SWITCHES & RELAYS开关,继电器,键盘TRANSISTORS晶体管(三极管,场效应管)TTL 74 SERIES

数字逻辑知识点

第一章数制与代码 进位计数制的基本概念,进位基数和数位的权值。 常用进位计数制:十进制二进制八进制十六进制 数制转换: 把非十进制数转换成十进制数:按权展开相加。 十进制数转换成其它进制数:整数转换,采用基数连除法。 纯小数转换,采用基数连乘法。 二进制数转换成八进制数或十六进制数:以二进制数的小数点为起点,分别向左、向右,每三位(或四位)分一组。对于小数部分,最低位一组不足三位(或四位)时,必须在有效位右边补0,使其足位。然后,把每一组二进制数转换成八进制(或十六进制)数,并保持原排序。对于整数部分,最高位一组不足位时,可在有效位的左边补0,也可不补。 八进制(或十六进制)数转换成二进制数:只要把八进制(或十六进制)数的每一位数码分别转换成三位(或四位)的二进制数,并保持原排序即可。整数最高位一组左边的0,及小数最低位一组右边的0,可以省略。 常用代码:二-十进制码(BCD码Binary Coded Decimal) ——用二进制码元来表示十进制数符“0 ~ 9”主要有: 8421BCD码2421码余3码(注意区分有权码和无权码) 可靠性代码:格雷码和奇偶校验码 具有如下特点的代码叫格雷码:任何相邻的两个码组(包括首、尾两个码组)中,只有一个码元不同。格雷码还具有反射特性,即按教材表中所示的对称轴,除最高位互补反射外,其余低位码元以对称轴镜像反射。格雷码属于无权码。 在编码技术中,把两个码组中不同的码元的个数叫做这两个码组的距离,简称码距。由于格雷码的任意相邻的两个码组的距离均为1,故又称之为单位距离码。另外,由于首尾两个码组也具有单位距离特性,因而格雷码也叫循环码。 奇偶校验码是一种可以检测一位错误的代码。它由信息位和校验位两部分组成。(要掌握奇偶校验原理及校验位的形成及检测方法) 字符代码:ASCII码(American Standard Code for Information Interchange,美国信息交换标准代码)

数字逻辑基础作业及详细答案

第一章 数字逻辑基础 作业及参考答案 P43 1-7 列出下列问题的真值表,并写出逻辑函数表达式 (1)3个输入信号A 、B 、C ,如果3个输入信号都为1或其中两个信号为0,输出信号F 为1,其余情况下输出信号F 为0 。 (2)4个输入信号A 、B 、C 、D ,如果4个输入信号出现偶数个0时,输出信号F 为1,其余情况下,输出信号F 为0. (1)解:根据题意列出真值表如下:(2)解:根据题意列出真值表如下: ABC C B A C B A C B A F +++= ABCD D C AB D C B A D C B A D BC A D C B A D C AB D C B A F +++++++= 1-8 写出下列函数的反函数表达式和对偶函数表达式 解:(1)C AB F += C B A F ?+=)( C B A F ?+=)(' (2)C B A F +⊕= C B A F +⊕= C B A B A F ?+?+=)()(' (3)E BD AC D B A F )()(+++= ])()[()]([E D B C A D B A F ++?+?++= ])()[()('E D B C A BD A F ++?+?+= (4) CD A C B A B A F ++=)( )(CD A C B A B A F ++= )()('D C A C B A B A F ++?+++=

1-9 证明下列等式 (1)))(())()((C A B A C B C A B A ++=+++ 证明:))(())()((C B BC B A AC C B C A B A +++=+++ BC BC A B A AC ABC ++++=BC B A AC ++=))((C A B A ++= 证毕。 (2)E CD A E D C CD A ABC A ++=++++)( 证明:E D C CD A A E D C CD A ABC A )()(+++=++++ E D C A E D C D C A E D C CD A +++=++++=+++=)()( E CD A ++= 证毕。 (3)BC A BC D C A B A C A +=+++ 证明:BC B C A BC B A C A BC D C A B A C A ++=++=+++)( BC A BC BC A +=+=)( 证毕。 (4)D C D C B A D AC D C B D C A ⊕=+++⊕)( 证明:D C A D AC D C B D C A D C B A D AC D C B D C A +++⊕=+++⊕)()( )()()()(D C D C B D C D C A D C B D C A ⊕=+⊕=⊕++⊕= 证毕。 1-10 画出实现逻辑表达式BD E CD AB F ++=)(的逻辑电路图。 解:BD E CD AB F ++=)(BD CDE ABE ++=

Cadence常用器件中英文对照表

Proteus常用器件中英文对照表AND 与门 ANTENNA 天线 BATTERY 直流电源 BELL 铃,钟 BVC 同轴电缆接插件 BRIDEG 1 整流桥(二极管) BRIDEG 2 整流桥(集成块) BUFFER 缓冲器 BUZZER 蜂鸣器 CAP 电容 CAPACITOR 电容 CAPACITOR POL 有极性电容CAPV AR 可调电容 CIRCUIT BREAKER 熔断丝 COAX 同轴电缆 CON 插口 CRYSTAL 晶振 DB 并行插口 DIODE 二极管 DIODE SCHOTTKY 稳压二极管

DIODE V ARACTOR 变容二极管DPY_3-SEG 3段LED DPY_7-SEG 7段LED DPY_7-SEG_DP 7段LED(带小数点) ELECTRO 电解电容 FUSE 熔断器 INDUCTOR 电感 INDUCTOR IRON 带铁芯电感INDUCTOR3 可调电感 JFET N N沟道场效应管 JFET P P沟道场效应管 LAMP 灯泡 LAMP NEDN 起辉器 LED 发光二极管 METER 仪表 MICROPHONE 麦克风 MOSFET MOS管 MOTOR AC 交流电机 MOTOR SERVO 伺服电机 NAND 与非门 NOR 或非门 NOT 非门

NPN NPN三极管 NPN-PHOTO 感光三极管OPAMP 运放 OR 或门 PHOTO 感光二极管 PNP 三极管 NPN DAR NPN三极管 PNP DAR PNP三极管 POT 滑线变阻器 PELAY-DPDT 双刀双掷继电器RES1.2 电阻 RES3.4 可变电阻 RESISTOR BRIDGE ? 桥式电阻RESPACK ? 电阻 SCR 晶闸管 PLUG ? 插头 PLUG AC FEMALE 三相交流插头SOCKET ? 插座 SOURCE CURRENT 电流源SOURCE VOLTAGE 电压源SPEAKER 扬声器

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字电路(第二版)贾立新1数字逻辑基础习题解答

1数字逻辑基础习题解答 1 自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据的容器。

相关文档
最新文档