脉冲信号发生器检定规程范文

脉冲信号发生器检定规程范文
脉冲信号发生器检定规程范文

脉冲信号发生器检定规程范文(JJG490-93)

本规程适用于新制造、使用中和修理后的XC-13A、XC-14A、XC-16A、XC -19A 等同类型脉冲信号发生器的主要工作特性的检定。

一概述

XC43A、XC-14A、XC-16A、XC-19A等型号的脉冲信号发生器是全晶体化的仪器,具有性能稳定、使用方便、波形失真小、重复频率范围宽、上升沿和下降沿可变或固定等特点,是研究脉冲电路、逻辑电路、集成电路等方面不可缺少的仪器;

二技术要求

1.

2.

上冲〈过冲〉≤5%

预冲≤5%

衰减振荡≤5%

倾斜≤5%

3.可选择正脉冲、正倒置、负脉冲、负倒置四种波形中的任意一种.

4.直流偏移: -1~+1V连续可调.

5.触发输出脉冲

5.1 频率与输出脉冲相同.

5.2 幅度: 小于1.5V〈负脉冲〉.

6.外触发: 具有由外部信号源触发和单次触发两种工作方式.

6.1 频率范围: 10 Hz~50 MHz.

6.2波形:负脉冲.

6.3触发幅度: 以说明书给出指针为准.

7.单次: 在前面板上用手动控制.

三检定条件

(一)坏境条件

8.环境温度: 220±5℃.

9.相对湿度: 45~80%.

10.大气压力: 86~106kpa

11.电源电压: 22OV±2% 50±1 Hz

(二)检定用设备

12.检定用设备见表2

四检定项目及检定方法

(一)外观及电性能检查

13.被检脉冲信号发生器不应有影响仪器正常工作及读数的任何机械损伤,各个

旋钮要调节平滑,接触良好,各波段开关跳步清晰.

14.按说明书规定接通电源,经过预热,用双踪宽带示波器进行观察,被检脉冲信

号发生器应能正常工作,所有控制开关及有关旋钮能起控制作用,各输出端均应有输出.

15.将重复频率波段开关置于“外”位置,脉冲输出接到示波器或计数器的输入

端,按下"单次"功能按钮,每按一次在示波器屏幕上或计数器上均能观测到单脉冲或双脉冲[将双脉冲信号发生器的种类开关置于“A+B”时,在频率计上读到的频率值是单脉冲(A或B)状态下的频率值的2倍]其按动次数不得少于10次.

(二)工作特性的检定

16.脉冲重复频率〈周期〉的检定本规程对脉冲重复频率〈周期〉的检定,采用

数字频率计法和示波器法均可.

16.1数字频率计法

16.1.1检定连接线路如图1所示.

图1

注:本文凡标有*号者是表示匹配负载为500.

16.1.2将被检脉冲信号发生器的延迟时间置于最小,脉冲宽度于相应位置,被检

脉冲信号发生器的频率微调旋钮顺时针方向或逆时针方向旋到底.

16.1.3将数字频率计功能开关置于"测频"位置,调节数字频率计触发电平,使数

字频率计工作正常.将被检脉冲信号发生器的重复频率分别置于被检

文件位置,记录数字频率计所显示的频率值,此值即为被检脉冲信号发生

器重复频率的实际值.

16.1.4将被检脉冲信号发生器频率微调旋钮逆时针或顺时针旋到底,频率粗调

仍在原被检档上。此时数字频率计所显示频率值应与低一裆微调的高端频率值相覆盖。

16.1.5当被检脉冲信号发生器的重复频率低于1kHz时,应做周期检定,其检定

连接线路与图1相同,数字频率计功能开关置于"周期"位置,其它与上相同。

16.1.6误差计算

绝对误差: △f=f-fo

f-fo

δf=--------------100%

fo

式中f-----被检脉冲信号发生器重复频率的标称值,

fo----被检脉冲信号发生器重复频率的实际值.

脉冲重复频率的检定,应在各被检档级上进行,顺时针或逆时针旋转到底的位置为准

16.2示波器法

16.21-检定连接线路如图2所示.

图2

16.2.2将被检脉冲信号发生器的延迟置于最小位置,脉宽置于相应位置,被检脉

冲信号发生器的重复频率(脉冲周期)微调旋钮顺时针或逆时针旋到底,粗调开关置于被检档上,示波器触发功能开关置于"内"触发位置,示波器扫描时间因子微调旋钮置于校准位置,调节示波器有关旋钮使屏幕上显示出一个完整的脉冲波形,且占检验工作面的80%左右.用示波器时间标测量出一个脉冲周期的水平长度,则被检脉冲周期的实际值为扫描时间因子乘以水平长度.

若技术指针给出的是重复频率,则重复频率的实测值为:

f0=1/T0

式中 f0----被检脉冲信号发生器重复频率的实际值

T0----被检脉冲信号发生器脉冲周期的实际值.

16.2.3误差处理及各挡间重复频率(周期)覆盖等的检定,均应与数字频率计法

相一致.

17.延迟时间的检定

本规程对延迟时间的检定采用两种方法,即数字频率计法和示波器法. 其延迟时间大于1μs时则采用数字频率计法,小于1μs时采用示波器法.检定时,可同时采用上述两种方法,也可仅采用示波器

17.1 数字频率计法

17.1.1检定连接线路如图3所示.

17.1.2将被检脉冲信号发生器的延迟时间粗调置于被检文件位置,延迟微调逆时针旋转到底,脉冲宽度和重复频率裆级置币适当位置.数字频率计功能开关置于"时间"位置,信道开关置于"分"的位置,检定正脉冲延迟时间时,B信道置于"-"斜率触发,C信道置于"+"斜率触发.调节数字频率计触发电平,使数字频率计显示正常,此时频率计所显示数值,即为被检脉冲信号发生器的延迟时间的实际值.详见图4.

17.1.3 将被检脉冲信号发生器的延迟时间微词顺时针旋转到底,延迟时间粗调开关仍置于被检档上, 其它与上述相同,此时数字频率计所显示的延迟时间应与高一档的微调低端所测的延迟时间实际值相覆盖.

17.1.4 用17.1.2、17.1.3项的方法对大于1μs 以上的延迟时间各挡级,依次进行检定.

图3 图4

17.1.5误差计算

绝对误差△t d=t d-t do

相对误差:δt d=[ (t d-t do)/ t do]×100%

式中t d----被检脉冲信号发生器延迟时间的标称值,

t do----波检脉冲信号发生器延迟时间的实际值.

延迟时间的检定应在各被检裆级上进行,误差计算均应以微词逆时针旋转到底位置为准.

17.2示波器法

17.2.1检定连接线路如图5所示.

图5 图6

17.2.2将被检脉冲信号发生器的触发输出脉冲接到宽带示波器的Y B端子,主脉

冲输出接到宽带示波器Y A端子,示波器工作在交替〈或相加〉位置.延迟时间粗调置于被检文件位置,微词旋钮逆时针旋转到底,宽带示波器触发开关工作于Y B触发状态,调节示波器各功能旋钮,使屏幕上显示出触发脉冲与主脉冲的完整波形,详见图6,且使触发输出脉冲顶值线与脉冲的底值线在同一水平线上.保持两脉冲幅度相等,并对称于屏幕水平刻度线的中心,用示波器坐标刻度线测出触发输出脉冲前沿的中心点到主脉冲前沿中心点所占有的时间间隔,则被检脉冲信号发生器延迟时间的实际值为扫描时间因子乘以水平长度.

17.2.3延迟时间覆盖的检定与17.1.3项相同,误差的处理与17.1.5项相同.

注:由于本机面扳延迟时间刻度未包含固有延迟时间,当破检脉冲信号发生器的延迟时间小于1000ns时,应从延迟时间的实际值中扣除本机固有延迟时间〈说明书中给出的数值〉.

17.2.4对于双脉冲信号发生器延迟时间的检定采用示波器法.将被检脉冲信号

发生器的功能开关置于"A+B"脉冲〈即双脉冲〉,脉冲输出接到宽带示波器-的垂直输入端,延迟时间粗调置于被检文件位置,微词旋钮逆时针旋转到底.

宽带示波器触发开关工作于内触发状态,调节示波器各功能旋钮,使屏幕上显示出A脉冲与B脉冲的完整波形.用示波器的坐标刻度线

测出A脉冲前沿的中心点到B脉冲前沿的中心点所占有的时间间隔,则被检脉.冲信号发生器延迟时间的实际值为扫描时间因子乘以水平长度.

18.脉冲宽度的检定

本规程对于脉冲宽度的检定采用了两种方法,其一是数字频率计法,其二是示波器法.当被检脉冲宽度小于1μs时采用示波器法,脉冲宽度大于1μs时,则采用频率计法,也可仅采用示波器法.·

18.1数字频率计法

18.1.1检定连接线路如图7所示.

图7 图8

18.1.2将被检脉冲信号发生器的延迟时间置于最小,脉冲宽度租调置于被检档

上,其微调逆时针旋转到底,重复频率置于相应位置,脉冲输出幅度置于×1位置,并把它接到数字频率诗的起动B端子上.数字频率计功能开关置于"时间"

位置,数字频率计触发功筐开关置于"合与位置,检定正〈负〉脉冲宽度,B信道置于+〈-〉斜率触发,C信道置于-〈+〉斜率触发,阻抗选择要匹配,调节两

信道的触发电平,使数字频率计显示正常,此时数字频率计的显示值即为被检脉冲宽度的实际值.详见图8.

18.1.3将脉冲宽度微调旋钮顺时针旋到底,其粗调位置不变,此时数字频率计所

显示的脉冲宽度值应和高一挡的微调低端所测的脉冲宽度实际值相覆盖.

18.1.4用18.1.2、18.1.3项的方法对于脉冲宽度大于1μs各档级依次进行检

18.1.5误差计算

绝对误差△tω= tω- tω0

相对误差δtω=[( tω- tω0)/ tω0]×100%

式中 tω-----波检脉冲宽度的标称值

tω0----波检脉冲宽度的实际值

脉冲宽度的检定应在各个被检档级上进行,误差计算均以微调逆时针旋到底位置为准.

18.2示波器法

18.2.1检定连接线路如图2所示.

18.2.2将被检脉冲信号发生器的脉冲宽度微词旋钮逆时针旋到底,粗调开关置

于被检档上,脉冲重复频率置于相应位置.示波器触发功能开关置“内”位置,调节示波器有关旋钮使屏幕上显示出一个完整的脉冲波形,并对称于水平刻度中心线,且占检验工作面的误差计算均以微调逆80%左右,详见图9.用示波器时间坐标测量出脉冲前沿的中心点到后沿的中心点所占有的水平长度.则被检脉冲宽度的实际值为扫描时间因子乘以水平长度.

图9

18.2.3脉冲宽度覆盖的检定与18.1.3项相同,误差处理与18.1.5项相同. 19.前沿〈后沿〉的检定

本规程对于前沿(后沿〉的检定均采用示波器法

19.1检定连接线路如图2所示.

19.2将被检脉冲信号发生器的前(后)沿粗调置于被检档上,微调旋钮逆时针旋

转到底,脉冲幅度按规定设置,重复频率,脉冲宽度置于相应位置,脉冲输

出用专用电缆及匹配负载接至示波器输入端,示波器触发极性与被检脉冲极性相同。调节示波器各个功能旋钮,使屏幕上显示出稳定的待测波形,用示波器时间坐标刻度线测量出脉冲幅度值的10%至90%〈90%至10%〉所占有的水平长度,则被检脉冲前〈后〉沿的实际值为扫描时间因子乘以水平长度,再将被检脉冲前〈后〉沿的微调旋钮顺时才针旋转到底,适当

改变脉冲重复频率和脉冲宽度,此时示波器所测量的前(后)沿实际值应和高一档微词低端脉冲前〈后〉沿时间相覆盖。

20.波形失真z上冲、预冲、衰减振荡、倾斜的检定.

20.1检定连接线路与图2相同

20.2将被检脉冲信号发生器的重复频率置于最高输出频率文件,延迟时间于最

小位置,脉冲宽度置于适当位置.脉冲输出幅度于×1位置,微词旋钮顺时针旋转到底,示波器糯合开关置于“DC”位置,示波器垂直偏转因子置于适当位置,调节示波器备功能旋钮,使其屏幕上出现一个完整的脉冲波形,且占检验面民80%,用示波器坐标刻度线分别量出基本幅度A、预冲量d、上冲量b、衰减振荡量c等.详见图10.

改变重复频率于最低文件,脉冲宽度于最大位置,测出倾斜量e,见图11.

20.3各参量计算公式

上冲: S b=(b/A)100%

预冲: S d=(d/A)100%

倾斜: S e=(e/A)100%

衰减振荡: Sc==(c/A)100%

图10 图11

21.脉冲幅度的检定.

21.1检定连接线路如图2所示.

2.1.2置示波器触求开关工作于内触发状态,垂直偏转因子置于.适当位置,垂直

微词置于校准位置.幅度衰减器置于被检档级,脉冲前沿与后沿置于最小位置脉冲频率与宽度置于适当位置,用示波器分别在正极性、负极性,幅度调节旋钮分别于顺、逆时针旋转到底时的脉冲幅度的实际值.

21.3误差计算

绝对误差: △Av=Av –Av0

相对误差: δAv=[(Av –Av0 )/ Av0]100%

式中 Av----被检脉冲幅度的标称值,

Av0----被检脉冲幅度的实际值.

22.触发输出脉冲幅度的检定

22.1检定连接线路如图2所示.

22.2用22.1款的方法,依次测出最高频率和最低重复频率时触发输出脉冲幅度

的实际值.

23.最小触发电平的检定

1.1检定连接线路如图12所示.

图12

23.2被检脉冲信号发生器重复频率波段开关置于“外”,将作为触发源的脉冲

信号发生器的输出接到被检脉冲信号发生器外触发输入端,再将触发源的频率置于100 kHz,慢慢调节触发源的输出幅度,直到示波器屏幕上刚好显示出稳定脉冲波形为止,然后用示波器测出触发源的输出信号幅度,此值即为被检脉冲信号发生器的最小触发电平.

23.3将频率置于最高触发频率与最低触发频率,分别测出其最小触发电平.

24.直流偏移的检定

24.1检定连接线路如图2所示.

24.2被检脉冲信号发生器重复频率置于1MHz.脉冲宽度置于30O ns,脉冲极性

置于正脉冲.示波器工作于直流糯合方式,使0电平时的扫描线与示波器屏幕中心线相重合,然后输入被检信号,使在屏幕上显示出一个完整稳定的脉冲波形,调节亘流偏移旋钮分别于最左和最右位置,记下此时用示波器所测的直流偏移的实际值.

24.3直流偏移检定应分别在正脉冲、负脉冲位置上进行

五检定结果的处理和检定周期

25.将上述各项检定资料按本检定规程要求进行误差计算,检定合格的脉冲信号发生器,发给检定证书,检定不合格者发给捡定结果通知书并指明不合格项目.

26.检定周期一般为1年,亦可根据脉冲信号发生器使用条件的不同或相邻2~3次的检定结果资料在允差范围内不变,则检定间隔的长短可以由用户、检定单位商定.

秒脉冲发生器

设计题目:秒脉冲发生器的设计 设计小组:第三组

1 秒脉冲发生器整体设计方案 1.1秒脉冲发生设计方案概述 秒脉冲发生器是由100HZ时钟产生电路和分频电路两部分构成,其中100HZ时钟产生电路主要由555定时器组成的时钟电路,主要用来产生100HZ的脉冲信号;分频电路主要由74LS192组成的100进制计数器电路,主要用于将100HZ 脉冲信号分成1HZ脉冲信号。该方案通过了Multisim软件仿真,并得到了1HZ的脉冲信号,基本实现了工程训练的要求。

1.2 秒脉冲发生器整体设计电路设计图 图1 秒脉冲发生器整体设计电路设计图1.3 秒脉冲发生器整体设计电路仿真图 图2 秒脉冲发生器整体设计电路仿真图

2 各分电路的元件介绍及设计方案 2.1 100HZ时钟产生电路 图3 100HZ时钟产生电路 2.1.1元件介绍 555芯片引脚图及引脚描述: 555的8脚是集成电路工作电压输入端,电压为5~18V,以UCC表示;从分压器上看出,上比较器A1的5脚接在R1和R2之间,所以5脚的电压固定在2UCC/3上;下比较器A2接在R2与R3之间,A2的同相输入端电位被固定在UCC/3上。 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电平对它不起作用,即输入电压大于2 Ucc/3,称高触发端,3脚输出低电平,但有一个先决条件,即2脚电位必须大于1Ucc/3时才有效。3脚在高电位接近电源电压Ucc,输出电流最大可打200mA。 4脚是复位端,当4脚电位小于0.4V时,不管2、6脚状态如何,输出端3脚都输出低电平。 5脚是控制端。

脉冲信号发生器使用方法

脉冲信号发生器可以产生重复频率、脉冲宽度及幅度均为可调的脉冲信号,广泛应用于脉冲电路、数字电路的动态特性测试。脉冲信号发生器一般都以矩形波为标准信号输出。 脉冲信号发生器的种类繁多,性能各异,但内部基本电路应包括图1所示的几个部分。 主振级一般由无稳态电路组成,产生重复频率可调的周期性信号。隔离级由电流开关组成,它把主振级与下一级隔开,避免下一级对主振级的影响,提高频率的稳定度。脉宽形成级一般由单稳态触发器和相减电路组成,形成脉冲宽度可调的脉冲信号。放大整形级是利用几级电流开关电路对脉冲信号进行限幅放大,以改善波形和满足输出级的激励需要。输出级满足脉冲信号输出幅度的要求,使脉冲信号发生器具有一定带负载能力。通过衰减器使输出的脉冲信号幅度可调。 所示为xc-15型脉冲信号发生器的面板示意图,xc-15型脉冲信号发生器是高重复频率ns (纳秒)级脉冲信号发生器。其重复频率范围为1kHz~100MHz,脉冲宽度为5ns~300μs,幅度为150mV~5V,并输出正、负脉冲及正、负倒置脉冲,性能比较完善。 (1)XC-15型脉冲信号发生器的面板开关、旋钮的功能及使用 ①“频率”粗调开关和“频率细调”旋钮。调节“频率”粗调开关和“频率细调”旋钮,可实现1kHz~100MHz的连续调整。粗调分为十挡(1kHz、3kHz、10kHz、100kHz、300kHz、1MHz、3MHz、10MHz、30MHz和100MHz),用细调覆盖。“频率细调”旋钮顺时针旋转时频率增高,顺时针旋转到底,为“频率”粗调开关所指频率;逆时针旋转到底,为此“频率”粗调开关所指刻度低一挡。例如,“频率”粗调开关置于10kHz挡,“频率细调”旋钮顺时针旋转到底时输出频率为10kHz;逆时针旋转到底时输出频率为3kHz。 ②“延迟”粗调转换开关和“延迟细调”旋钮。调节此组开关和旋钮,可实现延迟时间5ns~300,tts的连续调整。延迟粗调分为十挡(5ns、10ns、30ns、l00ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。延迟时间加上大约30ns的固有延迟时间等于同步输出负方波的下降沿超前主脉冲前沿的时间。 “延迟细调”旋钮逆时针旋转到底为粗调挡所指的延迟时间。顺时针旋转延迟时间增加,顺时针旋转到底为此粗调挡位高一挡的延迟时间。例如,“延迟”粗调开关置于30ns挡,“延迟细调”旋钮顺时针旋转到底时输出延迟时间为100ns;逆时针旋转到底时输出延迟时间为30ns。 ③“脉宽”粗调开关和“脉宽细调”旋钮。通过调节此组开关和旋钮,可实现脉宽5ns~300μs 的连续调整。“脉宽”粗调分为十挡(5ns、10ns、30ns、100ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。“脉宽细调”旋钮逆时针旋转到底为粗调挡所指的脉宽时间。顺时针旋转脉宽增加,顺时针旋转到底为此粗调挡位高一挡的脉宽。例如,“脉宽”粗调开关置于10ns挡,“脉宽细调”旋钮顺时针旋转到底时输出脉宽为30ns;逆时针旋转到底时输出延迟时间为10ns。 ④“极性”选择开关。转换此开关可使仪器输出四种脉冲波形中的一种。 ⑤“偏移”旋钮。调节偏移旋钮可改变输出脉冲对地的参考电平。 ⑥“衰减”开关和“幅度”旋钮。调节此组开关和旋钮,可实现150mV~5V的输出脉冲幅度调整。 (2)使用注意事项在使用xc 15型脉冲信号发生器时应注意如下两点事项。 ①本仪器不能空载使用,必须接入50Ω负载,并尽量避免感性或容性负载,以免引起波形畸变。 ②开机后预热15min后,仪器方能正常工作。

几种简单的函数信号发生器电路图分析

几种简单的函数信号发生器电路图分析 时间:2012-01-10 15:30 作者:赛微编辑来源:赛微电子网 引言 随着模拟电路技术和电力电子技术发展,电路设计中对信号的精度、稳定性、抗干扰能力等要求进一步提高,电子行业中将一些功能进行集成到IC芯片供其他的厂家来使用。在电路设计中,我们除了正常的电源输入之外,还需要提供三角波、方波、正弦波、脉冲波、单次脉冲等特殊的波形来给某个电路提供输入。 这种可以提供三角波、方波、正弦波、脉冲波、单次脉冲等特殊的波形的电路或者仪器(函数信号发生器的种类),我们可以称之为函数信号发生器,它对电子工程师设计的整个系统来说,发挥着重要的作用,它具有各种内置信号、自定义的任意波形和脉冲能力,能帮助您验证设计,检验新的构想,从而让整个设计更具有可靠性。 本文结合几种简单的函数信号发生器电路图,并对其工作原理(函数信号发生器原理)、可以实现的功能和性能、电路特点等方面做了详细的分析,供电子发烧友参考。 程控函数信号发生器电路图 它主要由主控制器LPC2114、MAX038、D/A转换器以及八选一模拟开关CD4051LED显示、键盘、波段切换,波形处理和峰值检波等部分组成,研究了LPC2114通过D/A转换器实现对MAX038频就绪和占空比的调控方法,并给出

了在0.1Hz~20MHz内产生精确的正弦波、方波和三角波的方法。此外,它还具有可调范围大、精度高、信号稳定等特点,可以应用于各种电子测量和控制场合。 LPC2114主要通过D/A转换器TLC5618、DAC0832和八选一模拟开关CD4051对MAX038输出的波形、频率以及占空比进行控制。通过对A1和A0端的不同设置来选择不同的波形。当A1为高电平、A0为任意时,输出波形为正弦波;当A1、A0同时为低电平时,输出波形为方波;当A1为低电平、A0为高电平时,输出波形为三角波。 MAX038输出波形的幅值为2 V(P-P),最大输出电流为+20 mA,输出阻抗的典型值为0.1 Ω。可直接驱动100 Ω的负载。为了得到更大的输出幅度和驱动能力,就需要对波形信号作进一步处理,下图为一个波形输出与驱动电路。

信号发生器电路的焊接与调试-电路图

一、信号发生器电路安装与调试考核评分表 准考证号姓名规定时间分钟 开始时间结束时间实用时间得分 考核内容及要求配分评分标准扣分 1 元器件清点检查:在10分钟内对所有元 器件进行检测,并将不合格元器件筛选出来进 行更换,缺少的要求补发。 10 超时更换或要求补发按损坏 元件扣分,扣3分/个。 2 安装电路:按装配图进行装接,要求不装 错,不损坏元器件,无虚焊,漏焊和搭锡,元 器件排列整齐并符合工艺要求。 30 漏装,错装或虚焊、漏焊、 搭锡,扣2分/个,安装不整 齐和不符合工艺要求的扣1 分/处,损坏元件扣3分/个。 3 电源电路:接通交流电源,测量交流电压 和各直流电压+12V、-12V、V CC 、-5V。 信号发生器电路:接通+12V、-12V、V CC 、 -5V电源。测量函数信号波形:方波、正弦波、 三角波形。 20 电压测试方法不正确扣10 分,测量值有误差扣5分。 4 选择C=10uf,调节RW13、RW14、RW15, 记录方波的占空比: 1、 2、 3、 10 不会用示波观察输出信号波 形扣10分, 调节不正确扣5分, 波形记录不正确扣5分。 5 改变电容:100nf——100uf,并调节RW11, 记录正弦波输出频率f: 1、 2、 3、 10 最大不失真电压测试方法不 正确扣5分,测量值不准确 扣5分,不会计算最大不失 真功率扣5分。 6 调节RW21、RW22, 记录正弦波输出Vpp: 1、 2、 3、 10 不会测试功放电路的灵敏度 扣5分,不会计算电压放大 倍数扣5分。 7 调节电位器RW16、RW17, 记录正弦波形的失真: 1、 2、 3、 10 测量方法不正确扣5分, 测量数据每处2分,不会绘 制频响曲线扣5分 开始时间:结束时间:实用时间:

基于STM32的简易信号发生器

绍兴文理学院 数理信息学院 课程设计报告书题目基于STM32的简易信号发生器电子信息工程专业 1班 姓名 xxx 指导教师 xxx 时间 2014年 7月12日

课程设计任务书

基于STM32的简易波形发生器 摘要 函数信号发生器是一种能够产生多种波形,如正弦波、方波、三角波、锯齿波等的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出以上波形的波形发生器。本课题采用STM32[1]为控制芯片,采用DDS[2]的设计方法,可将采样点经D/A[3]转换后输出任意波形,可通过调节D/A转换的频率来调节输出波形的频率,也可通过改变取点的起始位置来调节波形的初始相位。 关键词信号发生器STM32 DDS

目录 课程设计任务书.............................................................................................................................. I 摘要……………………………………………………………………………………………….II 1 设计概述 (1) 2 设计方案 (2) 3 设计实现 (3) 3.1 设计框图及流程图 (3) 3.2 MCU控制模块 (5) 3.3 按键控制模块 (5) 3.4 信号输出模块 (6) 3.5 LCD显示模块 (8) 4 设计验证 (8) 5 总结 (11)

1设计概述 信号发生器作为一种历史悠久的测量仪器,早在20年代电子设备刚出现时就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使得信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或作脉冲调制器的脉冲信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器。这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。 自从70年代微处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对D/A的程序控制,就可以得到各种简单的波形。 在80年代以后,数字技术日益成熟,信号发生器绝大部分不再使用机械驱动而采用数字电路,从一个频率基准有数字合成电路产生可变频率信号。 90年代末出现了集中真正高性能的函数信号发生器,HP公司推出了型号为HP770S的信号模拟装置系统,它是由HP8770A任意波形数字化和HP1770A波形发生软件组成。 信号发生器技术发展至今,引导技术潮流的仍是国外的几大仪器公司,如日本横河、Agilent、Tektronix等。美国的FLUKE公司的FLUKE-25型函数发生器是现有的测试仪器中最具多样性功能的几种仪器之一,它和频率计数器组合在一起,在任何条件下都可以给出很高的波形质量,能给出低失真的正弦波和三角波,还能给出过冲很小的快沿方波,其最高频率可达到5MHz,最大输出幅度可达到10Vpp。 国内也有不少公司已经有了类似的仪器。如南京盛普仪器科技有限公司的SPF120DDS信号发生器,华高仪器生产的HG1600H型数字合成函数\任意波形信号发生器。国内信号发生器起步晚,但发展至今,已经渐渐跟上国际的脚步,能够利用高新技术开发出达到国际水平的高性能多功能信号发生器。 信号发生器在生产实践和科技领域中有着广泛的应用,各种波形曲线均可用三角函数方程式来表达。函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量 雷达、控制教学等领域应用十分广泛。不论是在生产、科研还是在教学上,信号发生器都是电子工程师信号仿真实验的最佳工具。而且,信号发生器的设计

脉冲信号发生器的使用方法

脉冲信号发生器的使用方法 脉冲信号发生器可以产生重复频率、脉冲宽度及幅度均为可调的脉冲 信号,广泛应用于脉冲电路、数字电路的动态特性测试。脉冲信号发生器一般 都以矩形波为标准信号输出。脉冲信号发生器的种类繁多,性能各异,但 内部基本电路应包括主振级一般由无稳态电路组成,产生重复频率可调的周期 性信号。隔离级由电流开关组成,它把主振级与下一级隔开,避免下一级对主 振级的影响,提高频率的稳定度。脉宽形成级一般由单稳态触发器和相减电路 组成,形成脉冲宽度可调的脉冲信号。放大整形级是利用几级电流开关电路对 脉冲信号进行限幅放大,以改善波形和满足输出级的激励需要。输出级满足脉 冲信号输出幅度的要求,使脉冲信号发生器具有一定带负载能力。通过衰减器 使输出的脉冲信号幅度可调。 如(1)XC-15型脉冲信号发生器的面板开关、旋钮的功能及使用 ①频率粗调开关和频率细调旋钮。调节频率粗调开关和频率细调旋钮, 可实现1kHz~100MHz的连续调整。粗调分为十挡 (1kHz、3kHz、10kHz、100kHz、300kHz、1MHz、3MHz、10MHz、30MHz 和100MHz),用细调覆盖。频率细调旋钮顺时针旋转时频率增高,顺时针旋转 到底,为频率粗调开关所指频率;逆时针旋转到底,为此频率粗调开关所指刻 度低一挡。例如,频率粗调开关置于10kHz挡,频率细调旋钮顺时针旋转到底 时输出频率为10kHz;逆时针旋转到底时输出频率为3kHz。 ②延迟粗调转换开关和延迟细调旋钮。调节此组开关和旋钮,可实现延 迟时间5ns~300,tts的连续调整。延迟粗调分为十挡 (5ns、10ns、30ns、l00ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。延迟时间加上大约30ns的固有延迟时间等于同步输

简易矩形波发生器报告

数字电路设计研讨 --简易矩形波信号发生器 姓名:尹晨洋 学号:13211023 班级:通信1301 同组成员:程永涛 学号:13211007 指导老师:任希

目录 一、综述************************************************************ 1 二、电路元件结构及工作原理***************************** 1 1)、555计数器******************************************************** 1 2)、74ls160同步计数器************************************************ 2 3)、74ls175 4位寄存器************************************************* 4三、频率可调的矩形波发生器***************************** 4 1)、频率可调的矩形波发生器电路图仿真电路图******************************* 4 2)、频率可调的矩形波发生器工作原理分析*********************************** 4 3)、仿真结果分析******************************************************** 5四、可显示频率计数器***************************************** 6 1)、可显示频率计数器仿真电路图******************************************** 6 2)、工作原理分析********************************************************* 6 3)、仿真结果分析********************************************************** 7 4)、实验误差************************************************************** 9 五、总结与体会************************************************** 9 六、参考文献*******************************************************

DDS信号发生器电路设计

1. 信号产生部分 1.1 频率控制字输入模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ddsinput is port(a,b,c,clk,clr:in std_logic; q1,q2,q3,q4,q5:buffer unsigned(3 downto 0)); end ddsinput; architecture a of ddsinput is signal q:std_logic_vector(2 downto 0); begin q<=c&b&a; process(cp,q,clr) begin if clr='1'then q1<="0000";q2<="0000";q3<="0000";q4<="0000";q5<="0000"; elsif clk 'event and clk='1'then

DDS信号信号发生器电路设计 case q is when"001"=>q1<=q1+1; when"010"=>q2<=q2+1; when"011"=>q3<=q3+1; when"100"=>q4<=q4+1; when"101"=>q5<=q5+1; when others=>NULL; end case; end if; end process; end a; 1.2 相位累加器模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity xiangwei is port(m:in std_logic_vector(19 downto 0); clk,clr:in std_logic; data:out std_logic_vector(23 downto 0)); end xiangwei; architecture a of xiangwei is signal q:std_logic_vector(23 downto 0); begin process(clr,clk,m,q) begin if clr='1'then q<="000000000000000000000000"; elsif (clk'event and clk='1')then q<=q+m; end if; data<=q; end process; end a;

信号发生器的基本原理

信号发生器的基本原理- 信号发生器使用攻略 信号发生器的基本原理 现代信号发生器的结构非常复杂,与早期的简易信号发生器天差地别,但总体基本结构功能单元还是类似的。信号发生器的主要部件有频率产生单元、调制单元、缓冲放大单元、衰减输出单元、显示单元、控制单元。早期的信号发生器都采用模拟电路,现代信号发生器越来越多地使用数字电路或单片机控制,内部电路结构上有了很大的变化。 频率产生单元是信号发生器的基础和核心。早期的高频信号发生器采用模拟电路LC振荡器,低频信号发生器则较多采用文氏电桥振荡器和RC移相振荡器。由于早期没有频率合成技术,所以上述LC、RC振荡器优点是结构简单,可以产生连续变化的频率,缺点是频率 稳定度不够高。早期产品为了提高信号发生器频率稳定度,在可变电容的精密调节方面下了很多功夫,不少产品都设计了精密的传动机构和指示机构,所以很多早期的高级信号发生器体积大、重量重。后来,人们发现采用石英晶体构成振荡电路,产生的频率稳定,但是石英晶体的频率是固定的,在没有频率合成的技术条件下,只能做成固定频率信号发生器。之后 也出现过压控振荡器,虽然频率稳定度比LC振荡器好些,但依然不够理想,不过压控振荡 器摆脱了LC振荡器的机械结构,可以大大缩减仪器的体积,同时电路不太复杂,成本也不高。现在一些低端的函数信号发生器依然采用这种方式。 随着PLL锁相环频率合成器电路的兴起,高档信号发生器纷纷采用频率合成技术,其 优点是频率输出稳定(频率合成器的参考基准频率由石英晶体产生),频率可以步进调节,频率显示机构可以用数字化显示或者直接设置。早期的高精度信号发生器为了得到较小的频率步进,将锁相环做得非常复杂,成本很高,体积和重量都很大。目前的中高端信号发生器 采用了更先进的DDS频率直接合成技术,具有频率输出稳定度高、频率合成范围宽、信号频谱纯净度高等优点。由于DDS芯片高度集成化,所以信号发生器的体积很小。 信号发生器的工作频率范围、频率稳定度、频率设置精度、相位噪声、信号频谱纯度都与频率产生单元有关,也是信号发生器性能的重要指标。 信号发生器的一大特性就是可以操控仪器输出信号的幅度,信号通过特定组合衰减量的衰减器达到预定的输出幅度。早期的衰减器是机械式的,通过刻度来读取衰减量或输出幅度。现代中高档信号发生器的衰减器单元由单片机控制继电器来切换,向电子芯片化过渡,衰减单元的衰减步进量不断缩小,精度相应提高。大频率范围的高精度衰减器和高精度信号输出属于高科技技术,这也是国内很少有企业能制造高端信号发生器的原因之一。信号发生器的信号输出范围和输出电平的精度和准确度也是标志信号发生器性能的重要指标。

脉冲信号发生器设计

脉冲信号发生器 摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为 0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。输出模式 可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。 关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 1 方案设计与比较 脉冲信号产生方案: 方案一、采用专用DDS芯片的技术方案: 目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。 方案二、单片机法。 利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。 方案二:FPGA法。利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。 2 理论分析与计算 脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。 脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:

可编程脉冲信号发生器的设计说明

可编程脉冲信号发生器的设计 摘要 基于单片机的可编程脉冲信号发生器,通过4x4的非编码矩阵键盘键入脉冲信号的指标参数频率、占空比和脉冲个数,在单片机的控制处理下发出满足信号指标的脉冲信号,并在液晶显示屏的制定位置显示出相关参数。复位电路采用上电复位和手动复位的复合复位方式,保证单片机在上电和程序运行进入死循环时,单片机均能正常复位。利用在工作方式1下的定时器和计数输出低频脉冲信号,以及在工作方式2下能够自动重复赋初值的定时器输出高频脉冲信号,从而使频率和占空比满足指标要求。通过程序设计,使单片机每次发出信号后等到重置信号进行下一次脉冲信号的输出,有效的提高了单片机的使用效率。 本课题设计利用单片机技术,通过相应的软件编程和较简易的外围硬件电路来实现,其产生的脉冲信号干扰小,输出稳定,可靠性高,人机界面友好,操作简单方便,成本低,携带方便,扩展性强。关键的是,脉冲信号频率、脉冲个数和脉冲占空比可调节,可通过键盘输入并由显示器显示出来。 本课题设计所要达到的指标要求: (1)脉冲信号频率0.1HZ到50KHZ可调并在液晶显示屏指定位置显示。 (2)脉冲信号个数0到9999可调并在液晶显示屏指定位置显示。 (3)脉冲信号占空比任意可调并在液晶屏显屏指定位置示出来。 关键词:单片机,脉冲信号,频率,脉冲个数,占空比

Programmable pulse signal generator design ABSTRACT The programmable pulse signal generator based on single chip, through the 4x4 non-coding matrix keyboard inputing pulse signal parameters of frequency, duty cycle and pulse number, pulse signal is sent to meet the targets of signal processing chip.The related parameters are displayed on the setting position on the liquid crystal. The reset circuit by power-on reset and manual reset, ensure the SCM in power and run into dead circulation can be reset. Use in work mode 1 timer and counter output low frequency pulse signal, and in work mode 2 to timer output high frequency pulse signal ,automaticly repeat initialization, so as to make the frequency and duty ratio meet the requirements. Through the program design, the microcontroller each signal and then wait for the reset signal, the signal at the output of the pulse next time, effectively improve the efficiency in the use of single-chip microcomputer. The subject of the use of single-chip technology, which achieved through the corresponding software and the simple peripheral hardware circuit. The advantages of which are the small interference of the pulse signal, output stability, high reliability, friendly man-machine interface, easy operation, low cost, portability, scalability strong. The keys, pulse frequency, pulse number and pulse duty ratio are adjustable, which can be inputed through the keyboard and displayed through LCD. The requirements of this topic design: (1) The pulse signal frequency of 0.1HZ to 50KHZ is adjustable and can be displaied on the specify location in the LCD screen. (2) Pulse signal number of 0 to 9999 is adjusted and can be displaied on the specify location in the LCD screen. (3)Pulse duty ratio is adjustable and can be displaied on the specify

秒信号发生器

一、硬件电路设计 (1)复位电路 复位是使单片机处于某种确定的初始状态。单片机工作从复位开始。在单片机RST引脚引入高电平并保持2个机器周期,单片机就执行复位操作。复位操作有两种基本方式:一种是上电复位,另一种是上电与按键均有效的复位。如图1所示为复位电路: 图1复位电路 开机瞬间RST获得高电平,随着电解电容C3的充电,RST引脚的高电平将逐渐下降。若该高电平能保持足够2个机器周期,就可以实现复位操作。根据经典电路选择参数,选取C3=10μF,R1=10KΩ。 (2)晶振电路 单片机的时钟信号通常有两种产生方式:一是内部时钟方式,二是外部时钟方式。内部时钟方式是利用单片机内部的振荡电路产生时钟信号。外部时钟方式是把外部已有的时钟信号引入到单片机内。本次设计中,采用的是12MHz晶振,配上30pF的电容,构成谐振,这样有助于输出稳定的波形。图2所示为晶振电路: 图2晶振电路

在单片机的XTAL1和XTAL2引脚外接石英晶体(简称晶振),作为单片机内部振荡电路的负载,构成自激振荡器,可在单片机内部产生时钟脉冲信号。C1和C2的作用是稳定振荡频率和快速起振。根据经典电路选择参数,本电路选用晶振12 MHz,C1=C2=33PF。其中晶振周期(或外部时钟信号周期)为最小的时序单位。 (3)串口调试电路 二、程序设计 程序思路说明:只需要4个按键。关于频率和占空比的确定,对于12M晶振,输出频率为1KHZ,这样定时中断次数设定为 10,即10MS 中断一次,则TH0=FF,TL0=F6;由于设定中断时间为10ms,这样可以设 * *定占空比可从1-99%变化。即10ms*100=1s #include #define uchar unsigned char #define uint unsigned int uchar timer0_tick,ZKB=1;//timer0_tick计数,ZKB占空比 uchar i=0,n=0,temp=0; code seven_seg[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; //1,2,3, 4, 5, 6, 7, 8, 9 code scan[2]={0xfd,0xfe}; uchar counter[2]={0,0}; sbit AN1=P3^2;//调整个位 sbit AN2=P3^3;//调整十位 sbit AN3=P3^4;//启动按键

简易脉冲信号发生器

学号10780133 EDA技术及应用 设计说明书 简易脉冲信号发生器 起止日期:2013 年12 月16日至2013 年12 月20 日 学生姓名高雪 班级10信科1班 成绩 指导教师(签字) 计算机与信息工程学院 2013年12 月20 日

天津城建大学 课程设计任务书 2013—2014学年第1学期 计算机与信息工程学院电子信息科学与技术专业一班级 课程设计名称:EDA技术及应用 设计题目:简易脉冲信号发生器 完成期限:自2013 年12月16 日至2013 年12 月20 日共 1 周 一.课程设计依据 在掌握常用数字电路原理和技术的基础上,根据EDA技术及应用课程所学知识,利用硬件描述语言(VHDL或VerilogHDL),EDA软件(QuartusⅡ)和硬件开发平台(达盛试验箱CycloneⅡFPGA)进行初步数字系统设计。 二、课程设计内容 设计一个简易方波信号发生器,要求能够根据输入信号选择输出不同频率和占空比的脉冲波。输出频率为100,1K,10KHz,每个频率占空比均可在0.1,0.2 ….0.9,档位调节。要求频率可在数码管显示100Hz 的输出至LED灯上显示结果,1K信号输出后经滤波器驱动蜂鸣器测试。 三、课程设计要求 1、要求独立完成设计任务。 2、课程设计说明书封面格式要求见《天津城市建设学院课程设计教学规范》附表1。 3、课程设计的说明书要求简洁、通顺,计算正确,图纸表达内容完整、清楚、规范。 4、测试要求:根据题目的特点,采用相应的时序仿真或者在实验系统上观察结果。 5、课程设计说明书要求: 1)说明题目的设计原理和思路、采用方法及设计流程。 2)系统框图、Verilog HDL语言设计程序或原理图。 3)对各子模块的功能以及各子模块之间的关系做较详细的描述。 4)详细说明调试方法和调试过程。 5)说明测试结果:仿真时序图和结果显示图,并对其进行说明和分析。 指导教师(签字): 教研室主任(签字): 批准日期:2013 年12月12日

(Proteus数电仿真)序列信号发生器电路设计

实验8 序列信号发生器电路设计 一、实验目的: 1.熟悉序列信号发生器的工作原理。 2.学会序列信号发生器的设计方法。 3.熟悉掌握EDA软件工具Proteus 的设计仿真测试应用。 二、实验仪器设备: 仿真计算机及软件Proteus 。 74LS161、74LS194、74LS151 三、实验原理: 1、反馈移位型序列信号发生器 反馈移位型序列信号发生器的结构框图如右图 所示,它由移位寄存器和组合反馈网络组成, 从寄存器的某一输出端可以得到周期性的序列 码。设计按一下步骤进行: (1)确定位移寄存器位数n ,并确定移位 寄存器的M 个独立状态。 CP 将给定的序列码按照移位规律每 n 位一组,划分为M 个状态。 若M 个状态中出现重复现象,则应增加移位寄存器的位数。用n+1位再重复上述过程,直到划分为M 个独立状态为止。 (2)根据M 各不同状态列出寄存器的态序表和反馈函数表,求出反馈函数F 的表达式。 (3)检查自启动性能。 (4)画逻辑图。 2、计数型序列信号发生器 计数型序列信号发生器和组合的结构框图 如图 所示。它由计数器和组合输出网络两部分 组成,序列码从组合输出网络输出。设计 过程分为以下两步: (1)根据序列码的长度M 设计模M (2)按计数器的状态转移关系和序列码的要求组合输出网络。由于计数器的状态设置和输出序列没有直接关系,因此这种结构对于输出序列的更改比较方便,而且还能产生多组序列码。 四、计算机仿真实验内容及步骤、结果: 1、设计一个产生100111序列的反馈移位型序列信号发生器。 1、根据电路图在protuse 中搭建电路图

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

脉冲信号发生器

电子技术综合训练 设计报告 题目:脉冲信号发生器 姓名:xxx 学号:xxxxxxx 班级:xx 电气及其自动化xx 同组成员:xxx 指导教师:xxx 日期:2011年1月4日

脉冲信号发生器的原理主要分为四部分,即正弦波的产生,方波的变换,分频电路和倍频电路,并由这四部分最终产生三种不同频率的信号,其要点在于电路的线路连接及焊接。通过设计体会理论与实际结合的重要性. 关键字:正弦发生多谐振荡器降频电路锁相环

一、设计任务和要求 (5) 1.1设计任务 (5) 1.2设计要求 (5) 二、系统设计 (6) 2.1系统要求 (6) 2.2方案设计 (6) 2.3系统工作原理 (7) 三、单元电路设计 (8) 3.1 RC正弦发生器 (8) 3.1.1电路结构及工作原理 (9) 3.1.2电路仿真 (9) 3.1.3元器件的选择及参数确定 (9) 3.2 555定时器组成的多谐振荡器 (9) 3.2.1电路结构及工作原理 (9) 3.2.2电路仿真 (11) 3.3 74LS161计数器降频电路 (11) 3.3.1电路结构及工作原理 (11)

3.3.2电路仿真 (11) 3.3.3元器件的选择及参数确定 (11) 3.4 锁相环升频电路 (13) 3.4.1电路结构及工作原理 (13) 3.4.2元器件的选择及参数确定 (15) 四、系统仿真 (17) 五、电路安装、调试与测试 (18) 5.1电路安装 (17) 5.2电路调试 (17) 5.3系统功能及性能测试 (17) 5.3.1测试方法设计 (18) 5.3.2测试结果及分析 (18) 结论 (19) 参考文献 (20) 总结、体会和建议 (21) 附录 (22)

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

相关文档
最新文档