基于VHDL的交通灯信号控制器设计

基于VHDL的交通灯信号控制器设计
基于VHDL的交通灯信号控制器设计

基于VHDL的交通灯设计

基于VHDL的交通灯设计

————————————————————————————————作者:————————————————————————————————日期:

EDA 课程设计报告书 课题名称 基于VHDL 的交通灯设计 姓 名 学 号 院 系 专 业 指导教师 年 月 日 ※※※※※※※※※ ※※ ※※ ※ ※ ※※※※※※※※※ 级学生 EDA 课程设计

一.设计任务及要求: 二.设计任务: 模拟十字路口交通信号灯的工作过程,利用实验板上的两组 红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。 设计要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 指导教师签名: 年月日二、指导教师评语: 指导教师签名: 年月日三、成绩 验收盖章 年月日

基于VHDL的交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。 设计要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 支干道 主干道 图1 路口交通管理示意图 A B C D 主干道交通灯绿(40秒)黄(4秒)红(20秒)红(4秒) 支干道交通灯红红绿黄 表1 交通信号灯的4种状态 二、设计原理: 设计目的:学习DEA开发软件和QuartusII的使用方法,熟悉可编辑 器件的使用。通过制作来了解交通灯控制系统,交通灯系统主要是实现城市十字交叉路口红绿灯的控制。 设计说明: (1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

VHDL语言的十字路口交通灯控制器设计

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩:实验五十字路口交通灯控制器设计 一、实验目的 1、进一步加强经典状态机的设计 2、学会设计模可变倒计时计数器 二、实验要求 一条主干道,一条乡间公路。组成十字路口,要求优先保证主干道通行。有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间;系统有MRCY、MRCG、MYCR、MGCR四个状态; 乡间公路右侧各埋有一个传感器,当有车辆通过乡间公路时,发出请求信号S=1,其余时间S=0; 平时系统停留在MGCR(主干道通行)状态,一旦S信号有效,经MYCR(黄灯状态)转入MRCG(乡间公路通行)状态,但要保证MGCR的状态不得短于一分钟;一旦S信号无效,系统脱离MRCG状态。随即经MRCY(黄灯状态)进入MGCR 状态,即使S信号一直有效,MRCG状态也不得长于20秒钟。 三、设计过程 1,交通灯工作原理分析: 根据交通灯控制器的功能与要求,将其总体电路分为状态控制,倒计时,数码管显示,信号灯显示模块。外部两路脉冲振荡器的频率选为1 kHz和1 Hz的信号,1khz信号用于显示模块的扫描,1 Hz信号用做倒计时模块的计数脉冲。由于实验箱只能接一个信号源故加入分频模块。

2,计时电路应满足下列条件 1)当S=1,且计数器已完成60计数时,计数器进入模4计数,随后进入模20计数,再进入模4计数,再回到模60计数 2)当计数器进行摸20计数时,一旦S变为0,计数器立马进入模4计数,再进入模60计数 3)完成模20计数后,不论S为0或1,计数器进入模4计数,再进入模60 计数 4)若计数器未完成模60计数,不论S如何变话,计数器将继续进行模60 计数 3、使用文本设计底层文件,并生成相应元器件,再使用原理图设计顶层文件 四、实验步骤 1、顶层文件的设计 顶层原理图设计可以依据系统框图进行,由状态控制计数模块,数码管显示分频模块和交通灯显示模块(jtdjs,jtdfp,jtdxs)三部分组成。 2,各模块设计文件 ①jtdjs: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY JTDJS IS PORT(CLK1,S,RESET:IN STD_LOGIC; b:BUFFER STD_LOGIC; tim:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END JTDJS; ARCHITECTURE behav OF JTDJS IS TYPE STA IS(mgcr,mycr,mrcg,mrcy); SIGNAL STA TE:STA; BEGIN

VHDL课程设计报告---交通灯设计

课程设计 课程名称:交通灯设计. 学院:电气工程学院专业:测仪姓名:学号: 年级:级任课教师: 2012年 1月12日

电气工程学院 课程设计任务书 课题名称:交通灯控制器的设计 专业、班级:测控技术与仪器测仪班 指导教师: 20 年1 月2 日至20 年1 月13 日共2周 指导教师签名: 教研室主任签名: 分管院长签名:

一、课程设计内容 1.学习ALTERA公司的FPGA/CPLD的结构、特点和性能。 2.学习集成开发软件MAX+plus II/Quartus II的使用及设计过程。 3.熟悉EDA工具设计数字电路设计方法,掌握VHDL硬件描述语言设计方法。 4.根据给定题目设计数字电路,来加深对可编程逻辑器件的理解和掌握。 二、课程设计应完成的工作 1.在所选择器件内完成交通灯控制器的设计,要求设计完成后芯片具有交通灯控制器的全部功能、包括显示和操作接口。 2.交通灯控制器要求控制十字路口两道路的交通灯,两道路交替通行,每次通行时间可设定20——60秒之间,每个路口要求有前行、禁止、人行灯。 (根据实际设计进度考虑可以增加左右转向灯,等待和通行时间显示等)。 3.撰写设计说明书一份(不少于2000字),阐述系统的工作原理,软、硬件设计方法,重点阐述软件思路。说明书应包括封面、任务书、目录、摘要、正文、参考文献(资料)等内容,以及硬件电路综合图和软件程序清单等材料。 注:设计说明书题目字体用小三,黑体,正文字体用五号字,宋体,小标题用四号及小四,宋体,并用A4纸打印。 三、课程设计进程安排

四、设计资料及参考文献 1.康华光主编,《电子技术基础-数字部分》,高等教育出版社,1998。2.谭会生等主编,《EDA技术及应用》,西安电子科技大学出版社,2001 3.潘松等主编,《EDA技术实用教程》,科学出版社,2006 4.雷伏容主编,《VHDL电路设计》,清华大学出版社,2006 5.Charles H.Roth等著,《数字系统设计与VHDL》,电子工业出版社,2008 五、成绩评定综合以下因素: (1) 说明书及设计图纸的质量(占50%)。 (2) 独立工作能力及设计过程的表现(占30%)。 (3) 回答问题的情况(占20%)。 说明书和图纸部分评分分值分布如下:

基于FPGA的交通灯设计说明

交通信号灯控制器

目录 第一章系统设计 1.1设计要求 (3) 1.2 方案比较 (3) 1.3方案论证 (3) 1.3.1总体思路 (4) 1.3.2设计方案 (5) 第二章单元电路设计 2.1 4位二进制计数器 (6) 2.2 两位二进制计数器 (6) 2.3定时时间到检测电路 (6) 2.4红黄绿灯输出控制电路 (6) 2.5计时器 (6) 第三章软件设计 3.1用VHDL编写程序 (6) 3.2 程序流程 (7) 3.3程序清单及仿真 (7) 第四章系统测试 (7) 第五章结论 (8) 参考文献 (9) 附录 (10)

0 引言 随着经济的飞速发展,现代化交通管理成了当今的热点问题。一个完善的交通控制功能,可使混乱的交通变得井然有序,从而保障了人们的正常外出。本系统通过设计一交通信号灯控制器,达到交通控制的目的。除实现交通灯基本的控制功能外,系统还可显示该灯本次距灯灭所剩的时间,具有更完善的控制功能,使行人提前做好起、停准备,具有更强的实用性。 第1章 系统设计 1.1设计要求 (1) 交通灯从绿变红时,有4秒黄灯亮的间隔时间。 (2) 交通灯红变绿是直接进行的,没有间隔时间。 (3) 主干道上的绿灯时间为20秒,支干道的绿灯时间为10秒。 (4) 在任意时间,显示每个状态到该状态结束所需要的时间。 1.2方案比较 要实现对交通灯的控制,有很多的方案可供选择。 方案一:由两块CMOS 集成电路完成定时和序列控制功能,三只双向晶体管完成实际的电源切换功能。电路中采用10V 负电源(可由市电电压经降压、整流、滤波、稳压而得)、CD4049集成电路、计数器CD4017等器件。其中双向晶闸管选用400V 、4A 的,二极管选用BY127型和1N4148型,稳压管选用10V 、1W 的。因直接使用市电工作,故在安装和使用时安全系数较低,且硬件电路复杂,所用器件多。 方案二:运用VHDL 语言分别控制分频和状态机两个模块, 即信号源经分频器分频后得到1Hz 脉冲,输出脉冲控制状态机中预置四个状态的循环,从而达到交通控制作用.该方案电路结构简单,使用器件少,易于安装和使用.但不宜于电路扩展,适用围小,应用不广泛. 方案三:采用VHDL 语言输入的方式实现交通信号灯控制器,并灵活运用了通用元件CBU14和CBU12作为4位二进制计数器和两位二进制计数器,简化了硬件电路,同时也给调试、维护和功能的扩展、性能的提高带来了极大的方便。 分析以上三种方案的优缺点,显然第三种方案具有更大的优越性、灵活性,所以采用第三种方案进行设计。 1.3 方案论证 1.3.1 总体思路 系统交通管理示意图如图1.3.1. 主干道 支干道 图1.3.1 路口交通管理示意图 由此可得出交通信号灯A 、B 、C 、D 的4种状态:

VHDL交通灯课程设计

目录 引言 (1) 1.系统的设计要求 (2) 2.系统分析 (2) 2.1 系统构成 (2) 2.2 系统实现过程 (3) 3.具体模块设计 (4) 3.1消抖模块 (4) 3.2 交通灯模块 (4) 3.3 交通灯时长设置模块 (4) 3.8 整体结构电路图 (5) 4.程序设计 (5) 4.1消抖模块源代码 (5) 4.2 交通灯时长设置模块 (6) 4.3 交通灯模块 (9) 4.4 顶层文件源代码 (12) 5.运行结果与分析 (15) 6.结束语 (17) 参考文献 (17)

引言 不同的城市存在着不同的城市问题,但其中有一个共同的问题就是城市交通。在交叉路口如何解决混合交通流中的相互影响,就是解决问题的关键所在!随着我国经济的稳步发展,人民生活水平的日渐提高,越来越多的汽车进入寻常百姓的家庭,再加上政府大力地发展公交、出租车行业,道路上的车辆越来越多,使得城市的交通成为了一个主要的问题。严重的拥堵现象,逐渐恶化的城市环境,都给广大市民带来了许多困扰。要解决这些问题不仅要求道路越来越宽阔,而且更需要有新的交通管理模式出台。 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。交通系统未来的发展趋势就是要提高通行能力,加强环境保护,开展智能化运输和环保专项技术的研究,并且要做到以人为本,重点开展交通安全技术的研究,在这个过程中要确定经济合理的目标,促进新材料的广泛应用和开发。 EDA 技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。

VHDLFPGA交通灯

《VHDL与数字系统设计》课程设计报告 ( 2014 -- 2015 年度第 1 学期) 名称:VHDL与数字系统设计 题目:交通灯控制器 院系:电气与电子工程学院 班级:电子1301 学号:06 学生姓名:韩辉 指导教师:高雪莲 设计周数:2周 成绩: 日期:2015年 1 月日

一、课程设计的目的与要求 1.设计目的 (1)熟悉MAXPLUS2/Quartus II软件,掌握软件的VHDL程序输入、程序编译和程序仿真操作; (2)学习利用VHDL语言设计交通灯控制器电路程序。 2.设计要求:根据设计正文提出的交通灯控制器功能,实现交通灯控制器设计。 (1)分析交通灯控制器的基础功能(见设计正文),在此基础上完善该交通灯控制器功能。 (2)根据提出的真值表编写相应的VHDL程序。 (3)对编写的程序说明其实现的功能和编程思路,描述程序中出现的端口含义。 二、设计正文 1.设计思路:设计一个交通灯控制器,该控制器包括两组红黄绿灯(A组和B组)。它们的变化顺序 采用实际红绿灯变化顺序,变化时长设置:红灯15秒,绿灯10秒,黄灯5秒。(便于最终仿真结果的观察) 2.交通灯控制器的源程序: libraRy ieee; use ——包含库和程序包 entity counter is ——定义实体 port( clock,reset,hold:in std_logic; ——输入变量clock,reset,hold flash:out std_logic; ——输出变量flash,numa,numb,a,b numa,numb:out integer range 0 to 25; aR,aG,aY:out std_logic; bR,bG,bY:out std_logic); end counter; ——结束实体 architecture rtl of counter is ——定义结构体 signal countnum:integer range 0 to 30; begin process(clock) begin if reset='1' then ——reset=1时保持原状态 countnum<=0; elsif rising_edge(clock) then ——检测时钟上升沿 if countnum=29 then ——计29秒自动跳回0 countnum<=0; else

基于VHDL的交通灯设计

EDA 课程设计报告书 课题名称 基于VHDL 的交通灯设计 姓 名 学 号 院 系 专 业 指导教师 年 月 日 ※※※※※※※※※ ※※ ※※ ※ ※ ※※※※※※※※※ 级学生 EDA 课程设计

一.设计任务及要求: 设计任务: 模拟十字路口交通信号灯的工作过程,利用实验板上的两组 红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。 设计要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 指导教师签名: 年月日二、指导教师评语: 指导教师签名: 年月日三、成绩 验收盖章 年月日

基于VHDL的交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。 设计要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 支干道 主干道 图1 路口交通管理示意图 A B C D 主干道交通灯绿(40秒)黄(4秒)红(20秒)红(4秒) 支干道交通灯红红绿黄 表1 交通信号灯的4种状态 二、设计原理: 设计目的:学习DEA开发软件和QuartusII的使用方法,熟悉可编辑 器件的使用。通过制作来了解交通灯控制系统,交通灯系统主要是实现城市十字交叉路口红绿灯的控制。 设计说明: (1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统

用VHDL语言实现的交通灯

目录 序言 (3) 第1章任务和要求 (4) 1.1 设计任务 (4) 1.2设计要求 (4) 第2章功能分析 (6) 2.1交通灯控制系统总体框架图 (6) 2.2模块功能分析 (6) 第3章设计过程 (7) 3.1 分频模块的设计及仿真图 (7) 3.2 显示模块的设计及仿真图 (7) 3.3 数码管显示模块设计及仿真图 (8) 3.4 译码驱动模块的设计及仿真图 (8) 3.5顶层文件设计及仿真图 (9) 3.6交通灯顶层原理图 (9) 第4章外部电路结构图及引脚分配 (11) 4.1电路主要结构 (11) 4.2下载引脚分配 (12)

第五章分析与小结 5.1测试及结果分析 (13) 5.2实验小结 (13) 参考文献 (14) 附录 (15)

具有五种信号灯和倒计时显示的交通灯控制器 序言 随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。随着中国加入WTO,我们不但要在经济、文化、科技等各方面与国际接轨,在交通控制方面也应与国际接轨。俗话说“要想富,先修路”,但路修好了如果在交通控制方面做不好道路还是无法保障畅通安全。作为交通控制的重要组成部份的交通信号灯也应适合社会实际情况。因此,本人选择制作十字路口交通灯。运用VHDL语言编写一个交通灯控制器模块,该模块由四部分组成,分别是分频模块clk_10,灯模块js,数码显示模块sm和译码驱动模块dec47。交通灯控制器用于自动控制十字路口交通灯和计时器,指挥各种车辆和行人安全通行。

基于VHDL的交通灯数电课程设计报告

湖南大学电气与信息工程学院本科生课程设计 题目:交通信号灯控制器设计 课程:数字电子技术课程设计 专业:电气工程及其自动化 班级:电自1104 学号: 姓名: 指导老师:苏娟、何洪英、周冰航、曾文海设计时间:2014.4-5月

目录 1 课题概述 (2) 1.1 设计任务及要求 (2) 1.2 设计方案 (3) 2 系统模块划分和源程序 (4) 2.1 分频模块 (4) 2.2 交通灯控制模块 (5) 2.3 BCD码转换模块 (7) 2.4 接口模块 (10) 2.5 LCD显示模块 (14) 2.6 其他模块 (15) 3 逻辑原理图及仿真 (16) 3.1 逻辑原理图 (16) 3.2 波形仿真 (16) 4 实验板下载及运行结果 (20) 4.1 约束文件 (20) 4.2 可下载的原理图 (20) 4.3 运行效果图及说明 (21) 5 心得体会 (22) 6 答辩老师提问 (23) 7 参考文献 (24)

1.课题概述 1.1设计任务及要求 1.运用《电子技术基础·数字部分》所学内容,使用Altium designer进行设计,使用vhdl 编写模块,制作原理图进行仿真,并通过老师提供的接口模块下载到Nanoboard 开发板上运行; 2.由主干道A和支干道的汇合点形成十字交叉路口, 在交叉路口的每个入口处设置有红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行,黄灯亮则使行驶到路口的车辆有时间行驶到禁止线之外; 3.用红、绿、黄三色发光二极管作信号灯, 主干道A为东西向,设红、绿、黄三色灯为AR 、AG 、 AY; 支干道B为南北向,设红、绿、黄三色灯为BR 、 BG 、 BY; 4.主干道车辆较多, 所以亮绿灯的时间设为50秒,支干道亮绿灯的时间设为30秒。当主干道允许通行亮绿灯时则支干道亮红灯;相反,支干道允许通行亮绿灯时则主干道亮红灯。每次由绿灯转变为红灯时,其间要亮5秒的黄灯作为过渡,以便行驶的车辆有时间行驶到禁止线外; 5.交通灯正常运行时,用LCD显示屏显示主干道和支干道的倒计时时间,交通灯状态。即在LCD上按格式显示交通灯的2个2位BCD码,红绿黄灯。输入:EW[7..0]、SN[7..0]为东西、南北向的2个2位BCD码,EWRYG[2..0]、SNRYG[2..0]东西南北的红绿灯信号,顺序为红黄绿; 6.具有一定的扩展功能,它能实现特殊状态的功能显示,用开关S作为特殊信号传感器,S为1时进入特殊状态并实现下列特殊状态功能: (1)显示器数字部分闪烁,即在全0和当前计时时间中交替显示; (2)计数器停止计数并保持原来的时间数据; (3)东西, 南北方向的三色灯全显红色状态; (4)特殊状态解除后能继续返回正常工作状态。 LCD显示屏显示格式如下:

基于vhdl的交通灯设计

交通灯设计 课程名称: 学院专业 姓名:学号:年级:任课教师: 2011年 9 月 5 日

实验目的: 1.熟悉quartus的使用,了解VHDL编程 2.掌握逻辑电路的设计方法 3.利用Quartus,使用VHDL语言编写一个交通灯的程序,使之可以实现红黄绿灯的交替 出现,并在十字路口的另一条岔路上实现指挥的同步,完成交通指挥的功能。 实验基本原理: 在假设时钟信号为1HZ的情况下,对路口的灯进行计时,每当时间到时转入下一个状态,开始新一轮的计时,一个十字路口的交通灯组合后有四种情况(绿,红)(黄,红)(红,绿)(红,黄),每个状态可对应一个时间。 在对每种状态编号后就可以开始状态的循环了。 实验内容: 实验代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity traffic is port( clk: in std_logic; r1,y1,g1,r2,y2,g2:out std_logic --六个输出对应十字路口的六个红绿灯 ); end traffic; architecture act of traffic is constant yellow_time:integer:=5; constant green_time:integer:=20; --不用定义红灯的时间,因为后面用不到. signal state:integer range 0 to 3; --这里有四种状态,用state来表示 begin process(clk) begin if(clk'event and clk='1') then case state is --用来展示这四种状态

十字路口交通灯控制器的VHDL设计

基于FPGA的半整数分频器设计 一.系统设计任务及功能概述 1.系统设计任务基于FPGA的半整数分频器设计 任务要求:设有一个5MHz(或7、9、11、13、15、17、19、21、23 、25MHz)的时钟源,但电路中需要产生一个2MHz的时钟信号,由于分频比为2.5(或3.5、4.5、5.5、6.5、7.5、8.5、9.5、10.5、11.5、12.5),因此采用小数分频。 2.小数分频的基本原理 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为10.1的分频器时,可以将分频器设计成9次10分频,1次11分频,这样总的分频值为:F=(9×10+1×11)/(9+1)=10.1 3.系统功能概述 本系统是一个基于FPGA的半整数分频器,具有以下功能:有一个5MHz的时钟源,通过半整数分频器后电路中可以产生的是一个2MHz的时钟信号 二.系统设计方案和程序设计 1.系统设计方案 下图给出 再利用模 PORT(CLR,ENA,CLK:IN STD_LOGIC; QA,QB,QC,QD:OUT STD_LOGIC); END ENTITY JSHQ11; ARCHITECTURE ART OF JSHQ11 IS --定义了结构体 SIGNAL CQI: STD_LOGIC_VECTOR(0 TO 3); BEGIN PROCESS(CLK,CLR,ENA)IS --进程开始,CLK,CLR,ENA为敏感信号 BEGIN IF CLR='1' THEN CQI<="0000"; ELSE

交通灯VHDL课程设计报告

《电子设计自动化(EDA)技术》课程实训报告 题目: 交通信号控制器VHDL设 计 ** 姓名: 院技术学应院系:用

业:电子信息工程(仪器仪表)专学号: ************ 坤指导教师:徐正

目录 1 课程设计题目、内容与要求…………………………………4页 设计题目…………………………………………………4页 设计内容…………………………………………………4页 具体要求…………………………………………………4页 2 系统设计………………………………………………………5页设计思路…………………………………………………5页 系统原理…………………………………………………5页 3 系统实现………………………………………………………5页 VHDL源程序的具体程序和说明………………………5页 交通信号控制器程序中使用到得信号及其对应的管脚…7页 4 系统仿真………………………………………………………7页

5 硬件验证(操作)说明………………………………………7页 页8…………………………………………………………总结6 7 参考书目……………………………………………………8页 交通灯控制器 周晓 重庆三峡学院应用技术学院电子信息工程(仪器仪表)2008级重庆万州 404000 摘要基于VHDL的交通灯控制器设计,芯片采用ALTERA公司的ACEX1K 系列的 EP1K10TC100-3,使用硬件描述语言 VHDL进行描述,对交通灯进行模块化,在VHDL编程环境Quartus II下编译通过。此报告对该设计的思想原理,详细程序和引脚配置以及波形仿真进行了详细的阐述。 关键词: VHDL 模块化交通灯设计 1 课程设计题目、内容与要求 设计题目 交通信号控制器VHDL设计 设计内容 交通信号控制器的VHDL源程序; 交通信号控制器的仿真波形; 交通信号控制器的硬件测试结果。 具体要求 设计一个交通信号控制器。 交通信号控制器原理框图如下图所示。.

EDA课程设计报告(交通信号控制器的VHDL的设计)[详细]

交通信号控制器的VHDL的设计 一、设计任务 模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器.能达到的要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间. 支干道 主干道 图1 路口交通管理示意图 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用.通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明 (1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的.因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作. 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号;

系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号. 模块说明: 系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号; 系统输出信号: t米: 产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换. 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; t米: 接收计数秒数选择电路状态转换信号; 系统输出信号: co米b_out: 负责红绿灯的状态显示. (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示. 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号: led7s1: 负责红绿灯的显示秒数个位. led7s2: 负责红绿灯的显示秒数十位. 三、设计方案

基于VHDL语言实现十字路口交通灯设计

基于VHDL 语言实现十字 路口交通灯设计 陶 涛 (长安大学信息工程学院 西安 710064) 摘 要 本设计基于MAX+PLUSII 平台,利用VHDL 语言实现十字路口交通灯的设计。并给出交通信号灯主控制电路的时序仿真波形。仿真结果表明VHDL 语言应用于数字电路仿真是切实可行的,在跟踪性和快速性方面达到了令人满意的效果。 关键词 运输 交通灯 VHDL 仿真 MAX+PLUSII 1 VHDL 的特点 随着电子技术的发展,数字系统的设计正朝高速度、大容量、小体积的方向发展,传统的自底而上的设计方法已难以适应形势。EDA(Electronic Design Auto -mation)技术的应运而生,使传统的电子系统设计发生了根本的变革。EDA 技术就是依赖功能强大的计算机,在EDA 工具软件平台上,对以硬件描述语言VHDL (Very Hieh Speed Integrated Circuit Hardware Description Language)为系统逻辑描述手段自顶而下地逐层完成相应的描述、综合、优化、仿真与验证,直至生成器件。VHDL 语言是目前应用于数字系统仿真最为实用的语言之一。VHDL 语言最早由美国国防部提出。用VHDL 语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。与传统设计方法相比,VHDL 描述电路行为的算法有很多优点: (1)设计层次较高、用于较复杂的计算时,能尽早发现存在的问题,缩短设计周期; (2)独立实现,修改方便,系统硬件描述能力强;(3)可读性好,有利于交流,适合于文档保存;(4)VHDL 语言标准、规范、移植性强; (5)VHDL 类型众多而且支持用户自定义类型,支持自顶而下的设计方法和多种电路的设计。2 交通灯设计说明 启动交通灯首先将开关SM(东西方向交通灯控制键)置为高电平,SB(南北方向交通灯控制键)为低电平。则系统就会进入稳定的工作状态。然后东西绿灯亮,南北红灯亮。30秒后,东西南北均变黄,持续4秒后,东西为红,南北为绿。如此交替循环。3 交通灯设计思路框图 图1 交通灯设计思路框图 4 软件流程图及程序 根据交通灯信号控制的要求,可把它分解为定时器和控制器两部分。C LK:时钟脉冲;SM:东西交通灯控制信号;SB:南北交通灯控制信号;MR:东西红灯;MY:东西黄灯;MG:东西绿灯:BR:南北红灯;B Y:南北黄灯:BG:南北绿灯(如图1所示)。主控制电路设计程序如下: LIBRARY IEEE; USE I EEE.STD LOGIC 1164.ALL;ENTITY jiaotongdeng IS 13 青海交通科技 2006)6

十字路口交通灯控制器的设计

西安工业大学北方信息工程学院 课程设计报告 课程数字系统设计 题目十字路口交通灯控制器的设计 专业通信工程 班级 学号 姓名 2012年 6 月 23 日

目录 一、设计目的 (2) 二、设计要求和任务 (2) 设计任务: (2) 三、方案论证 (2) 四、VHDL语言程序描述 (3) 五、对VHDL语言进行处理 (7) 六、硬件仿真 (7) 七、心得体会 (10)

一、设计目的 利用MaxplussII平台的VHDL语言设计一个十字交叉路口的交通灯控制器,要求主干道和支道持续的时间各不相同,并能够用倒计时的形式显示。 二、设计要求和任务 设计任务: 有一条主干道和一条支干道的汇合点形成十字交叉路口,主干道为东西向,支干道为南北向。为确保车辆安全,迅速地通行,在交叉道口的每个入口处设置了红、黄、绿3种信号灯(可利用实验板上的LED显示灯表示交通状态,其中O1、O2、O3分别表示主干道红、黄、绿灯,O6、O7、O8分别表示支干道红、黄、绿灯)。 要求: (1)主干道绿灯亮时,支干道红灯亮,反之亦然,两者交替允许通行,主干道每次放行35s,支干道每次放行25s。每次由绿灯变为红灯的过程中,亮光的黄灯作为过渡,时间为5s。 (2)利用七段数码显示器,实现正常的倒计时显示功能(用数码管1和数码管2显示主干道倒计时时间,用数码管4和数码管5显示支干道倒计时时间)。 (3)能实现总体清零功能:计数器由初始状态开始计数,对应状态的指示灯亮。 三、方案论证 红黄绿红黄绿 清零 主控制器 定时计数器 译码器 置数器显示器

图1交通灯控制器原理图 交通灯控制器原理框图如图1所示,包括置数模块、计数模块、主控制器模块和译码器模块。置数模块将交通灯的点亮时间预置到置数电路中,计数模块以秒为单位倒计时,当计数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。其中,核心部分是主控制模块,他负责整个交通灯的运行状态。 表1 交通灯控制器的状态转换表 (1)控制器模 块设计依设计要求,可画出交通灯 点亮规律的状态转换表,如表1所示。 根据状态图进行主控制器的设计。 (2)译码模块由于系统要进行35s ,5s ,25s 三种定时,可以采用一个置数模块由主控模块输出的信号控制定时时间的选择。 (3)定时计数器采用倒序计时的方式,由主控模块输出的信号控制定时的开始,定时时间结束时输出定时时间结束信号到主控模块,通过主控模块控制交通灯的亮、灭。 (4)译码模块把计数器输出的信号分别进行译码,由数码管显示当前计数。 四、VHDL 语言程序描述 ----主干道放行35秒,支干道放行25秒,黄灯过渡时间为5秒的程序示例 library ieee; -----库说明 use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entity jiaotongdeng is -----实体名称 port( clk_in:instd_logic; -----端口定义:时钟信号 rst:instd_logic; 复位信号 light:outstd_logic_vector(7 downto 0); 指示灯显示 LED7S0:out STD_LOGIC_VECTOR(6 downto 0); -----支干道十位数 LED7S1:out STD_LOGIC_VECTOR(6 downto 0); -----支干道个位数 LED7S2:out STD_LOGIC_VECTOR(6 downto 0); -----主干道十位数 LED7S3:out STD_LOGIC_VECTOR(6 downto 0) ----主干道个位数 ); endjiaotongdeng; 状态 主干道 支干道 时间 1(00) 绿灯亮 红灯亮 35s 2(01) 黄灯亮 红灯亮 5s 3(10) 红灯亮 绿灯亮 25s 4(11) 红灯亮 黄灯亮 5s

基于VHDL状态机的交通灯控制器设计

基于VHDL状态机的交通灯控制器设计 0 引言 交通灯是城市交通监管系统的重要组成部分,对于保证机动车辆的安全运行,维持城市道路的顺畅起到了重要作用。本文在VHDL状态机的基础上,利用FPGA的相关知识设计了交通灯控制系统。可以根据实际情况对灯亮时间进行自由调整,整个设计系统通过QuartusII 软件平台进行了模拟仿真,并下载到FPGA器件中进行硬件的调试,验证了设计的交通信号灯控制电路完全可以实现预定的功能,具有一定的实用性。 1 设计要求 有一条主干道和一条支干道的汇合点形成的十字路口,为确保车辆安全,迅速通行,在干道和支道的入口设置了红、绿(包括直行和左拐)、黄3色信号灯(如图1所示)。 图1 十字路口交通灯示意图 设计要求: (1)A方向和B方向各设红(R)、黄(Y)、绿(G)、和左拐(L)四盏指示灯; (2)四种灯按合理的顺序亮灭,并能将灯亮的时间以倒计时的形式显示出来; (3)主、支干道交替通行,假设B方向是主干道,车流量大,因此B方向通行的时间应比A方向长一些。B方向每次放行40秒,A方向每次放行30秒,在每次亮绿灯、左拐灯与红灯的转换过程中,亮5秒的黄灯作为过渡; (4)两个方向各种灯亮的时间应该能够进行非常方便的设计和修改; (5)上电复位后,主干道先通行。 2 VHDL设计实现 2.1 系统整体设计 该系统主要由控制模块、分频模块以及显示电路构成。其中分频模块主要将系统输入的基准时钟信号转换为1 HZ的激励信号,驱动控制模块工作。控制模块根据计数情况对交通灯的亮灭及持续时间进行控制,并将灯亮时间以倒计时的形式通过数码管显示出来。其中Reset是复位信号,高电平有效。 2.2 分频模块设计

交通灯VHDL课程设计报告

《电子设计自动化(EDA)技术》课程实训报告题目: 交通信号控制器VHDL设计 姓名:* * 院系:应用技术学院 专业:电子信息工程(仪器仪表) 学号:************ 指导教师:徐正坤 完成时间: 2010 年 6 月29 日

目录

1 课程设计题目、内容与要求…………………………………4页 设计题目…………………………………………………4页 设计内容…………………………………………………4页 具体要求…………………………………………………4页 2 系统设计………………………………………………………5页设计思路…………………………………………………5页 系统原理…………………………………………………5页 3 系统实现………………………………………………………5页VHDL源程序的具体程序和说明………………………5页 交通信号控制器程序中使用到得信号及其对应的管脚…7页 4 系统仿真………………………………………………………7页 5 硬件验证(操作)说明………………………………………7页 6 总结…………………………………………………………8页 7 参考书目……………………………………………………8页交通灯控制器

周晓 重庆三峡学院应用技术学院电子信息工程(仪器仪表)2008级重庆万州404000 摘要基于VHDL的交通灯控制器设计,芯片采用ALTERA公司的ACEX1K 系列的EP1K10TC100-3,使用硬件描述语言VHDL进行描述,对交通灯进行模块化,在VHDL编程环境Quartus II下编译通过。此报告对该设计的思想原理,详细程序和引脚配置以及波形仿真进行了详细的阐述。 关键词:VHDL 模块化交通灯设计 1 课程设计题目、内容与要求 设计题目 交通信号控制器VHDL设计 设计内容 交通信号控制器的VHDL源程序; 交通信号控制器的仿真波形; 交通信号控制器的硬件测试结果。 具体要求 设计一个交通信号控制器。 交通信号控制器原理框图如下图所示。 模拟场景图:

(完整版)基于FPGA的交通灯毕业设计论文

基于FPGA的交通灯设计 摘要EDA工具对于电子设计人员来说极其重要,它可以在电子设计的各个阶段、层次进行计算机模拟验证,确保设计的准确性,可缩短设计周期,降低设计成本。本文介绍的是数字信号交通灯,利用EDA设计工具,采用VHDL语言开发设计,并通过FPGA(即现场可编程门阵列)芯片设计来实现系统控制功能。 数字信号交通灯可以实现十字路口红绿灯的自动控制。基于FPGA的交通灯设计系统具有可靠性强、实时快速擦写、运算速度高、故障率低、电路简单,且体积小的特点。本毕业设计采用的是Altera公司CycloneII系列的EP2C5T144芯片作为核心最小系统,它可以方便嵌入到实际的交通灯应用系统中,可以完成简单的逻辑控制、数据采集、信号处理、数学计算等功能;使用QuartusII软件作为开发平台;采用自顶向下的设计思路对系统进行模块化设计和综合,并通过波形仿真和硬件实现两种方式实现并验证数字信号交通灯的功能。 关键词VHDL,交通灯,EDA ABSTRACT

EDA tools is extremely important to electronic designers, to ensure the accuracy of the design ,it can verify computer simulations in all stages and levels of electronic design ,it can also shorten the design cycle and reduce design costs .This article describes a digital signal traffic lights ,using EDA design tools ,and VHDL ,and FPGA(the Field Programmable Gate Arrays),through the chip to design system control functions. Digital signal traffic light traffic lights can achieve automatic control of the crossroads .The design of FPGA-based traffic light system , lower rate of fault, simple circuit and small volume. During this graduation project, I take the Altera Corporation CycloneII series EP2C5T144 chip as the minimum system core, it can be easily embedded in the actual application of the traffic light system, it can do simple logic control, data acquisition, signal processing, mathematical calculations and other functions;using QuartusII as development platform; using top-down design ideas to system modular design and synthesis, and through waveform simulation and two ways to complete and verify the function of digital signal traffic lights.

相关文档
最新文档