集成电路实验(模拟)(1)

集成电路实验(模拟)(1)
集成电路实验(模拟)(1)

模拟集成电路实验

实验一Hspice的熟悉和使用

一、实验目的

通过本实验,能够对模拟电路仿真工具Hspice的使用方法有比较熟悉的了解。

二、实验预备知识

1、Hspice介绍

Hspice(现在属于Synopsys 公司)是模拟IC设计中最常使用的工业级电路仿真工具,用以对模拟电路的稳态、瞬态及频域的仿真和分析。Hspice 输入网表文件为.sp 文件,模型和库文件为.inc 和.lib,Hspice 输出文件有运行状态文件.st0、输出列表文件.lis、瞬态分析文件.tr#、直流分析文件.sw#、交流分析文件.ac#、测量输出文件.m*#等。其中,所有的分析数据文件均可作为AvanWaves 的输入文件用来显示波形。

2、输入网表文件

输入网表(Netlist)文件主要由以下几部分组成:

3、电路元器件及模型描述

Hspice要求电路元器件名称必须以规定的字母开头,其后可以是任意数字或字母。除了名称之外,还应指定该元器件所接节点编号和元件值。

①、电阻,电容,电感等无源元件描述方式如下:

R1 1 2 10k (表示节点1 与2 间有电阻R1,阻值为10k 欧)

C1 1 2 1pf (表示节点1 与2 间有电容C1,电容值为1pf)

L1 1 2 1mh (表示节点1 与2 间有电感L1,电感值为1mh)

②、二极管描述语句如下:

DX N+ N- MNAME

D为元件名称,N+和N-分别为二极管的正负节点,MNAME是模型名,后面为可选项:AREA是面积因子,OFF是直流分析所加的初始条件,IC=VD 是瞬态分析的初始条件。

③、双极型晶体管

QX NC NB NE MNAME

Q 为元件名称,NC NB NE 分别是集电极、基极、发射极和衬底的节点。缺省时,NS 结地。后面可选项与二极管的意义相同。

④、结型场效应晶体管

JX ND NG NS MNAME

J为元件名称,ND NG NS为漏、栅、源的节点,MNAME 是模型名,后面为可选项与二极管的意义相同。

⑤、MOS 场效应晶体管

MX ND NG NS NB MNAME

M为元件名称,ND,NG,NS,NB 分别是漏、栅、源和衬底节点。MNAME 是模型名,L沟道长,M为沟道宽。

⑥、元器件模型

许多元器件都需用模型语句来定义其参数值。模型语句不同于元器件描述语句,它是以“.”开头的点语句,由关键字.MODEL模型名称,模型类型和一组参数组成。电阻、电容、二极管、MOS 管、双极管都可设置模型语句。这里我们仅介绍MOS 管的模型语句,其他的可参考Hspice帮助手册。MOS 场效应晶体管

是集成电路中常用的器件,在Hspice 有20 余种模型。例如一种MOS 模型如下:.MODEL NSS NMOS LEVEL=3 RSH=0 TOX=275E-10 LD=.1E-6 XJ=.14E-6

+ CJ=1.6E-4 CJSW=1.8E-10 UO=550 VTO=1.022 CGSO=1.3E-10

+ CGDO=1.3E-10 NSUB=4E15 NFS=1E10

……

4、电路的输入激励和源

Hspice中的激励源分为独立源和受控源两种,这里我们仅简单介绍独立源。独立源有独立电压源和独立电流源两种,分别用V 和I 表示。他们又分为直流源,交流小信号源和瞬态源,可以组合在一起使用。

①、直流源

VX N+ N- DC VALUE

IX N+ N- DC VALUE

例如:VCC 1 0 DC 5v (表示节点1,0 间加电压5v)

②、交流小信号源

VX N+ N- AC >

IX N+ N- AC >

其中,ACMAG 和ACPHASE 分别表示交流小信号源的幅度和相位。

例如:V1 1 0 AC 1v (表示节点1,0 间加交流电压幅值1v,相位0)

③、瞬态源

瞬态源有几种,以下我们均只以电压源为例,电流源类似。

* 脉冲源(又叫周期源)

VX N+ N- PULSE(V1 V2 TD TR TF PW PER)

V1 初始值,V2 脉动值,TD 延时,TR 上升时间,TF下降时间,PW脉冲宽度,PER 周期

例如:V1 5 0 PULSE(0 1 2NS 4Ns 4Ns 20NS 50NS)

* 正弦源

VX N+ N- SIN(V0 VA FREQ TD THETA PHASE)

V0 偏置,VA 幅度,FREQ 频率,TD 延迟,THETA 阻尼因子,PHASE 相位。

* 指数源

VX N+ N- EXP(V1 V2 TD1 TAU1 TD2 TAU2)

V1 初始值,V2 中止值,TD1 上升延时,TAU1 上升时间常数,TD2 下降延时,TAU2 下降时间常数

例如:V1 3 0 EXP(0 2 2ns 30ns 60ns 40ns)

* 分段线性源

VX N+ N- PWL(T1 V1 )

其中每对值(T1,V1)确定了时间t=T1 是分段线性源的值V1。

例如:Vpwl 3 0 PWL(0 1,10ns 1.5)

5、子电路

①、采用.GLOBAL设置全局节点:

.GLOBAL node1 node2 node3…

②、.SUBCKT子电路语句

.SUBCKT SUBNAM N1< N2 。。。>

子电路的定义由.SUBCKT 语句开始。SUBNAM是子电路名,N1< N2 。。。>是外部节点号

③、终止语句

.ENDS (表示结束子电路定义)

④、子电路调用语句

XY N1< N2 。。。> SUBNAM

在Spice中调用子电路的方法是设定以字母X 开头的伪元件名,其后是用来连接到子电路上的节点号,在后面是子电路名。

例如:.SUBCKT OPAMP 1 2 3 4

具体运放电路描述

.ENDS

Xop 1 2 3 4 OPAMP (调用该运放子电路)

6、电路的分析类型描述语句

分析类型描述语句由定义电路分析类型的描述语句和一些控制语句组成,如直流分析(.OP),瞬态分析(.TRAN)等分析语句,以及初始状态设置(.IC),

选择项设置(.OPTIONS)等控制语句。它的位置可在标题语句和结束语句之间的任何地方。

①、直流分析:

对DC、AC和TRAN分析将自动进行直流操作点(DC OP)的计算,但.TRAN UIC将直接设置初始条件,不进行DC OP的计算。

直流分析包含以下五种语句:

.DC:直流扫描分析;

.OP:直流操作点分析;

.PZ:Pole/Zero分析;

.SENS:直流小信号敏感度分析;

.TF:直流小信号传输函数分析。

.DC(直流扫描语句):在指定的范围内,某一个独立源或其他电路元器件参数步进变化时,计算电路滞留输出变量的相应变化曲线。

.DC var1 start1 stop1 inc1 sweep var2 type np start2 stop2

例如:.DC VIN 0.25 5.0 0.25 (表示电压源VIN 的值从0.25V扫描到5V,每次增量

0.25V)

②、交流分析:

交流分析是指输出变量作为频率的函数。交流分析包括以下四种语句:

.NOISE:噪声分析;

.DISTO:失真分析;

.NET:网络分析;

.SAMPLE:采样噪声分析。

.AC(交流分析语句):在规定的频率范围内完成电路的交流小信号分析

.AC DEC ND FSTART FSTOP (数量级变化)

其中,DEC 为10 倍频,ND 为该范围内点的数目,FSTART初始频率,FSTOP 中止频率。

例如:.AC DEC 10 1 10K (指从1 到10KHZ范围,每个数量级取10 点,交流小信号分析

③、瞬态分析:

瞬态分析是指计算的电路结果作为时间的函数。

一般形式: .TRAN TSTEP TSTOP >

TSETP 为时间增量,TSTOP 为终止时间,TSTART 为初始时间(若不设定,则隐含值为0)

例如:.TRAN 1NS 10000NS 500NS (瞬态分析500—10000NS,步长为1NS)具体电路的分析类型描述语句可查阅Hspice在线帮助。

7、输出格式描述语句

(1)、输出命令包括:

.PRINT、.PLOT、GRAPH、.PROBE和.MEASURE。

.PLOT antype ov1 ov2… plo1,phhi1…plo32,phi32

.PROBE ov1 ov2… ov32

.PRINT antype ov1 ov2… ov32

有五种输出变量形式:

①、直流和瞬态分析:

用于显示单个节点电压,支路电流和器件功耗。

.print TYPE V(node) 或.plot I(node),也可用.graph、.probe。

TYPE 为指定的输出分析类型,如(DC);V(node)表示节点电压,I(node)表示节点电流,p(rload)表示在负载rload上的分析点的功耗。

②、交流分析:

用于显示节点电压和支路电流的实部、虚部和相位。

vi(node)表示节点电压的虚部,ip(node)表示节点电流的相位,vp(4,6)表示节点4、

6 间的相位角。

③、器件模版:

用于显示制定的器件节点的电压、支路电流和器件参数。

lv16(m3)表示MOS管m3 的漏电流,其他表示方式见手册。

④、MEASURE语句:

用于显示用户自定义的变量。

可以采用的句法包括:raise,fall,delay,average,RMS,min,max,p-p等。

⑤、参数语句:

用于显示用户自定义的节点电压等表达式。

语法格式:.print tran out_var_name=PAR(…expression?)

(2)、还可以采用AvanWave 进行波形输出

电路的波形可以在AvanWave中TOP层下双击添加子电路层后选择显示。

8、控制语句和option 语句

①、.OPTION(可选项语句):

.options语句格式:.options opt1 opt2 opt3… opt=x

ACCT(打印出计算和运行时间统计)

LIST(打印出输入数据总清单)

NODE(打印出结点表)

NOMOD(抑制模型参数的打印输出)

一般在每个仿真文件中设置options为.options acct list post,也可以设置为.options node opts,其中.option list表示将器件网表、节点连接方式等输入到列表文件,用于debug与电路拓扑结构有关的问题,.option node表示将输出节点连接表到列表文件,用于debug与由于电路拓扑结构引起的不收敛问题,.option acct表示在列表文件中输出运行时间统计和仿真效率,.option opts在列表文件中报告所有的.option 设置,.option nomod表示不输出MODEL参数,以便减小列表文件的大小,.option brief=1表示不输出网表信息,直到设置.option

brief=0,.protect/.unprotect用于屏蔽网表文件中要保护的信息,.option bypass=1 不计算latent器件,.option autostop表示当所有.measure语句完成时,终止仿真,.option accurate=1 表示设置为最精确的仿真算法和容差,tstep表示仿真步长值,delmax表示最大允许时间步长,其中delmax=tstep*max,.option dvdt=4 用于数字CMOS电路仿真(默认设置),.option dcca=1 在直流扫描时强行计算随电压变化的电容,.option captab对二极管、BJT管、MOS、JFET、无源电容器,打印出信号的节点电容值,.option dcstep=val将直流模型和器件转换为电导,主要应用于“No DC Path to Ground”或有直流通路,但不符合Hspice定义的情况。

②、MODEL OPTION 语句:

SCALE影响器件参数,如:L、W、area,SCALM影响model参数,如:tox、vto、tnom。

③、注释语句

注释语句以“*”为首字符,位置是任意的,它为非执行语句。

三、实验内容

Hspice 可以执行各种模拟电路仿真,它的精度很高。通过点击桌面快捷方式,Hspice2007,启动Hspice界面如下:

Hspice模拟步骤如下:

①、由电路图提取网表或手工编写网表,注意网表文件以.sp结尾。例如,上图中电路网表文件为quickrc.sp;标题为:A SIMPLE AC RUN; 输出报告文件:quickrc.lis。

②、运行模拟,完成后检查输出报告文件后缀.lis文件察看模拟结果。

③、运行查看输出波形。

以下我们通过例子了解Hspice的网表文件格式,以及如何进行仿真。

实验实例、RC网络(LPF)

(1)、AC分析

网表文件如下,文件名为quickRC.sp (Hspice不识别大小写)

A SIMPLE AC RUN

.OPTIONS LIST NODE POST

.OP

.AC DEC 10 1K 1MEG

.PRINT AC V(1) V(2) I(R2) I(C1)

V1 1 0 10 AC 1

R1 1 2 1K

R2 2 0 1K

C1 2 0 .001U

.END

注释:第一行A SIMPLE AC RUN 为标题行;

第二行.OPTIONS LIST NODE POST 为可选项设置,LIST 打印出元件总结列表;NODE打印出元件节点表(element node table);POST 表示用何种格式储存模拟后的数据,以便与其它工具接口。

第三行.OP 计算直流工作点。

第四行.AC DEC 10 1K 1MEG (指从1K 到10MHZ范围,每个数量级取10点,交流小信号分析

第五行.PRINT AC V(1) V(2) I(R2) I(C1) 打印交流分析类型的节点1,2 的电压,以及R2,C1 的电流。

第六行V1 1 0 10 AC 1 表示节点1 与0 间,加直流电压10v 和幅值为

1v 的交流电压。

第七至九行为电路描述语句。

第十行为结束语句。

首先通过点击打开编辑好的quickRC.sp文件,此时显示界面如下:

点击仿真

点击查看Hspice输出结果文件quickRC.lis,可以看到仿真后各种数据信息。要查看输出的波形,点击,可以看到界面如下:

点击AC: A SIMPLE AC RUN,就可看到各种信号,如下所示:

双击要查看的信号波形,即可将该信号加入到波形框中,显示如下:

网络节点2 的频率响应

(2)、瞬态分析

使用同一个RC网络运行瞬态分析,但是增加了一个脉冲源到DC和AC源。输入如下的网表到一个名叫quickTRAN.sp的文件中。

A SIMPLE TRANSIENT RUN

.OPTIONS LIST NODE POST

.OP

.TRAN 10N 2U

.PRINT TRAN V(1) V(2) I(R2) I(C1)

V1 1 0 10 AC 1 PULSE 0 5 10N 20N 20N 500N 2U

R1 1 2 1K

R2 2 0 1K

C1 2 0 .001U

.END

注释:

V1 源增加了一个脉冲源。

运行Hspice进行瞬态仿真,

使用编辑器去看.lis文件和st0 文件以检查仿真的结果和状态,

运行AvantWaves以观察波形,在x轴显示节点1 和2 的瞬态电压。

RC 网络节点1 和节点2 瞬态电压

实验二Hspice仿真MOS管输入输出特性

一、实验目的

通过本实验熟悉MOS管的工作原理、输入输出特性曲线;沟道长度调制效应、体效应等二级效应;以及温度对参数的影响。

二、实验预备知识

图1 NMOS管截面图

NMOS管是在P型衬底上,制作两个高掺杂浓度的N型区,形成源极(Source)和漏极(Drian),另外一个是栅极(Gate),如图1所示。

当MOS器件的栅-源电压高于阈值电压时,器件开始导通。当V DS>V GS?V TH 时,器件进入饱和区,这里V DS和V TH分别指MOS管漏源电压和阈值电压,V GS 指MOS管的栅-源电压。在饱和区,MOS器件的漏极电流I DS和栅-源电压V GS的关系由下式决定:

当V DS

一般情况下我们认为当MOS器件的栅-源电压低于开启电压时,器件会突然关断。事实上,当V GS

下图是NMOS管的I-V特性曲线。

图2 MOS管I/V 特性曲线

在研究模拟电路时,至少还应该考虑不可缺少的两个二级效应:体效应、沟道长度调制效应。在前面的分析中,假设晶体管的衬底和源极是等电势的,随着衬底电压相对源电压V SB的下降,V TH会增加。这称为“体效应”或“背栅效应”。

当栅-漏之间的电压增大时,实际的反型沟道长度逐渐减小。而沟道区的电压V GS?V TH基本不变,因而I D将随V DS增加而增加。这就叫做“沟道长度调制”。考虑到这个因素后饱和区漏电流表达式可改为:

式中λ 是沟道长度调制系数。它使得I D / V DS特性曲线出现非零斜率。

三、实验内容

1、NMOS管输入特性曲线仿真

用Hspice仿真台积电TSMC 0.18um Mixed Signal SPICE MODEL的NMOS 管的输入特性,绘制输出电流Id相对输入栅源电压Vgs的特性曲线。

Hspice网表:(Hspice不识别大小写)

Use hspice to simulate MOS I/V curve

.OPTIONS LIST NODE POST

.lib "D:\hspice \mm018.l" tt

M1 2 1 0 0 nch W=4U L=0.18U

VDS 3 0 1.8

VGS 1 0 1

VIDS 3 2 0

.DC VGS 0 2 0.01

.PRINT DC V(2) I(VIDS)

.END

VGS

图3 NMOS管仿真电路

2、NMOS管输出特性曲线仿真

用Hspice仿真台积电TSMC 0.18um Mixed Signal SPICE MODEL的NMOS 管的输出特性,绘制输出电流Id相对输入漏源电压Vds的特性曲线。

Hspice网表文件:

Use hspice to simulate MOS I/V curve

.OPTIONS LIST NODE POST

.lib "D:\hspice \mm018.l" tt

M1 2 1 0 0 nch W=4U L=0.18U

VDS 3 0 1.8

VGS 1 0 1

VIDS 3 2 0

.DC VDS 0 1.8 0.1 VGS 0 2 0.2

.PRINT DC V(2) I(VIDS)

.END

图5 NMOS管输出特性曲线

改变W、L的值,测试NMOS管的输出特性,和前面的结果比较,说明引起差别的原因。

3、体效应对输出电流的影响

改变源-衬底电压,仿真输出电流,分析输出电流产生变化的原因。

Hspice网表文件:

Use hspice to simulate MOS I/V curve

.OPTIONS LIST NODE POST

.lib "D:\hspice \mm018.l" tt

M1 2 1 4 0 nch W=4U L=0.18U

VDS 3 0 1.8

VGS 1 0 1

VIDS 3 2 0

VSB 4 0 0

.DC VGS 0 2 0.01 VSB 0 0.4 0.1

.PRINT DC V(2) I(VIDS)

.END

图6 NMOS管体效应

4、温度对输出电流的影响

改变环境温度,仿真输出电流,分析输出电流产生变化的原因。

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

3.2模拟集成电路设计-差分放大器版图

集成电路设计实习Integrated Circuits Design Labs I t t d Ci it D i L b 单元实验三(第二次课) 模拟电路单元实验-差分放大器版图设计 2007-2008 Institute of Microelectronics Peking University

实验内容、实验目的、时间安排 z实验内容: z完成差分放大器的版图 z完成验证:DRC、LVS、后仿真 z目的: z掌握模拟集成电路单元模块的版图设计方法 z时间安排: z一次课完成差分放大器的版图与验证 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page1

实验步骤 1.完成上节课设计放大器对应的版图 对版图进行、检查 2.DRC LVS 3.创建后仿真电路 44.后仿真(进度慢的同学可只选做部分分析) z DC分析:直流功耗等 z AC分析:增益、GBW、PM z Tran分析:建立时间、瞬态功耗等 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page2

Display Option z Layout->Options ->Display z请按左图操作 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page3

由Schematic创建Layout z Schematic->Tools->Design Synthesis->Layout XL->弹出窗口 ->Create New->OK >选择Create New>OK z Virtuoso XL->Design->Gen From Source->弹出窗口 z选择所有Pin z设置Pin的Layer z Update Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page4

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

模拟集成电路基础知识整理

当GS V 恒定时,g m 与DS V 之间的关系 当DS V 恒定时,g m 、DS I 与GS V 之间的关系 通过对比可以发现,DS V 恒定时的弱反型区、强反型区、速度饱和区分别对应于当GS V 恒定时的亚阈值区、饱和区、线性区(三极管区)。 跨导g m 在线性区(三极管区)与DS V 成正比,饱和区与GS TH V V -成正比 DS g GS TH V V - 饱和区的跨导

NMOS 1、截止区条件:GS TH V V < 2、三极管区(线性区)条件:TH GD V V < 电压电流特性:()21 2DS n GS TH DS DS W I Cox V V V V L μ?????=-?- 3、饱和区条件:TH GD V V > 电压电流特性:()2 1 (1)2DS n GS TH DS W I Cox V V V L μλ= -+ 4、跨导: 就是小信号分析中的电流增益,D GS dI gm dV = () n GS TH W gm Cox V V L μ=- gm =2DS GS TH I gm V V = - 5、输出电阻就是小信号分析中的r0:10DS r I λ≈ PMOS 1、截止区GS THp V V > 2、三极管区(线性区)条件:THP DG V V < 电压电流特性:()21 2DS p GS TH DS DS W I Cox V V V V L μ?????=-? - 3、饱和区条件:THP DG V V > 电压电流特性:()2 1 (1)2DS p GS TH DS W I Cox V V V L μλ= -- 4、跨导和输出电阻与NMOS 管一样

模拟cmos集成电路设计实验

模拟cmos集成电路设计实验 实验要求: 设计一个单级放大器和一个两级运算放大器。单级放大器设计在课堂检查,两级运算放大器设计需要于学期结束前,提交一份实验报告。实验报告包括以下几部分内容: 1、电路结构分析及公式推导 (例如如何根据指标确定端口电压及宽长比) 2、电路设计步骤 3、仿真测试图 (需包含瞬态、直流和交流仿真图) 4、给出每个MOS管的宽长比 (做成表格形式,并在旁边附上电路图,与电路图一一对应) 5、实验心得和小结 单级放大器设计指标 两级放大器设计指标

实验操作步骤: a.安装Xmanager b.打开Xmanager中的Xstart

c.在Xstart中输入服务器地址、账号和密码 Host:202.38.81.119 Protocol: SSH Username/password: 学号(大写)/ 学号@567& (大写)Command : Linux type 2 然后点击run运行。会弹出xterm窗口。 修改密码

输入passwd,先输入当前密码,然后再输入两遍新密码。 注意密码不会显示出来。 d.设置服务器节点 用浏览器登陆http://202.38.81.119/ganglia/,查看机器负载情况,尽量选择负载轻的机器登陆,(注:mgt和rack01不要选取) 选择节点,在xterm中输入 ssh –X c01n?? (X为大写,??为节点名) 如选择13号节点,则输入ssh –X c01n13 e.文件夹管理 通常在主目录中,不同工艺库建立相应的文件夹,便于管理。本实验采用SMIC40nm工艺,所以在主目录新建SMIC40文件夹。 在xterm中,输入mkdir SMIC40 然后进入新建的SMIC40文件夹, 在xterm中,输入cd SMIC40.

模拟集成电路设计期末试卷..

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

模拟集成电路设计经典教材

1、 CMOS analog circuit design by P.E.ALLEN 评定:理论性90 实用性70 编写 100 精彩内容:运放的设计流程、比较器、 开关电容 这本书在国内非常流行,中文版也 翻译的很好,是很多人的入门教材。 建议大家读影印版,因为ic 领域 的绝大部分文献是以英文写成的。 如果你只能读中文版,你的学习资料 将非常有限。笔者对这本书的评价 并不高,认为该书理论有余,实用性 不足,在内容的安排上也有不妥的地 方,比如没有安排专门的章节讲述反 馈,在小信号的计算方面也没有巧方法。本书最精彩的部分应该就是运放的设计流程了。这是领域里非常重要的问题,像Allen 教授这样将设计流程一步一步表述出来在其他书里是没有的。这正体现了Allen 教授的治学风格:苛求理论的完整性系统性。但是,作为一项工程技术,最关键的是要解决问题,是能够拿出一套实用的经济的保险的方案。所以,读者会发现,看完最后一章关于ADC/DAC 的内容,似乎是面面俱到,几种结构的ADC 都提到了,但是当读者想要根据需求选择并设计一种ADC/DAC 时,却无从下手。书中关于比较器的内容也很精彩,也体现了Allen 教授求全的风格。不过,正好其它教科书里对比较器的系统讲述较少,该书正好弥补了这一缺陷。Allen 教授是开关电容电路和滤波器电路的专家。书中的相关章节很适合作为开关电容电路的入门教材。该书的排版、图表等书籍编写方面的工作也做的很好。像Allen 这样的理论派教授不管在那所大学里,大概都会很快的获得晋升吧。另外,Allen 教授的学生Rincon Moca 教授写的关于LDO 的书非常详尽,值得一读。 2、 CMOS Circuit Design Layout and Simulation CMOS Mixed-Signal Circuit Design by R.J.Baker 评定:理论性80 实用性100 编写80 精彩内容:数据转换器的建模和测量、hspice 网表这本书的风格和Allen 的书刚好相反: 理论的系统性不强,但是极为实用,甚至给出 大量的电路仿真网表和hspice 仿真图线。 这本书的中文版翻译的也很好。最近出了第二 版,翻译人员换了,不知道翻译的水平如何。 不过,第二版好贵啊~~ Baker 教授在工业界 的实战经验丰富,曾经参加过多年的军方项目 的研发,接收器,锁相环,数据转换器,DRAM 等曾设计过。所以,书中的内容几乎了包含 了数字、模拟的所有重要电路,Baker 教授

模拟集成电路实验报告

CMOS放大器设计实验报告 一、实验目的 1.培养学生分析、解决问题的综合能力; 2.熟悉计算机进行集成电路辅助设计的流程; 3.学会适应cadence设计工具; 4.掌握模拟电路仿真方法 6.掌握电子电路、电子芯片底层版图设计原则和方法; 7.掌握使用计算机对电路、电子器件进行参数提取及功能模拟的过程; 8.熟悉设计验证流程和方法。 二、实验原理 单级差分放大器结构如下图所示: 在电路结构中,M2和M3组成了NMOS差分输入对,差分输入与

单端输入相比可以有效抑制共模信号干扰;M0和M1电流镜为有源负载,可将差分输入转化为单端输出;M5管提供恒定的偏置电流。三、实验要求 设计电路使得其达到以下指标: 1.供电电压: 2.输入信号:正弦差分信号 3.共模电压范围为 4.差分模值范围 5.输出信号:正弦信号 6.摆率大于 7.带宽大于 8.幅值增益: 9.相位裕度: 10.功耗: 11.工作温度: 四、差分放大器分析

1、直流分析 为了使电路正常工作,电路中的MOS管都应处于饱和状态。 1.1 M2管的饱和条件: 1.2 M4管的饱和条件: 2.小信号分析 小信号模型如下:

由图可得: 2.1 增益分析 其中 2.2 频率响应分析由小信号模型易知: 其中 3.电路参数计算3.1确定电流 根据摆率指标:

根据功耗指标易知: 根据带宽指标: 综上,取: 3.2宽长比的确定 M4与M5:电流源提供的电流为,参数设为,根据电流镜原理,可以算出 M2与M3: 带入数据可得 取值为20,则取 M0与M1:这两个PMOS管对交流性能影响不大,只要使其下方的

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

模拟集成电路复习

1、 研究模拟集成电路的重要性:(1)首先,MOSFET 的特征尺寸越来越小,本征速度越来 越快;(2)SOC 芯片发展的需求。 2、 模拟设计困难的原因:(1)模拟设计涉及到在速度、功耗、增益、精度、电源电压等多 种因素间进行折衷,而数字电路只需在速度和功耗之间折衷;(2)模拟电路对噪声、串扰和其它干扰比数字电路要敏感得多;(3)器件的二级效应对模拟电路的影响比数字电路要严重得多;(4)高性能模拟电路的设计很少能自动完成,而许多数字电路都是自动综合和布局的。 3、 鲁棒性就是系统的健壮性。它是在异常和危险情况下系统生存的关键。所谓“鲁棒性”, 是指控制系统在一定的参数摄动下,维持某些性能的特性。 4、 版图设计过程:设计规则检查(DRC )、电气规则检查(ERC )、一致性校验(LVS )、RC 分布参数提取 5、 MOS 管正常工作的基本条件是:所有衬源(B 、S )、衬漏(B 、D )pn 结必须反偏 6、 沟道为夹断条件: 7、 (1)截止区:Id=0;Vgs

模拟集成电路设计软件使用教程

模拟集成电路设计软件实验教程 月4年2006

1 目录 实验一自上而下(Top-Down)的电路设计 (3) Lab 1.1 启动软件 (3) Lab 1.2 自上而下的系统级仿真 (3) Lab 1.3 电路图输入 (7) Lab 1.4 模块的创建 (10) Lab 1.5 电源的创建 (12) Lab 1.6 建立运放测试电路 (14) 实验二使用Spectre Direct进行模拟仿真 (17) Lab 2.1 运行仿真 (17) Lab 2.2 使用激励模板 (28) Lab 2.3 波形窗的使用 (32) Lab 2.4 保存仿真状态 (36) Lab 2.5 将仿真结果注释在电路图窗口 (37) 2 实验一自上而下(Top-Down)的电路设计Lab 1.1 启动软件 实验目的: 掌握如何启动模拟电路设计环境.

实验步骤: 1.进入Linux界面后,点击鼠标右键,选中New Terminal,则会弹出一个交互终端. 2.进入教程所在目录后,输入命令cd Artist446 (注意:cd后必须有空格;命令行大小写敏感) 3.在同一个交互终端内,输入命令icms &,在屏幕底部会出现一个命令交互窗(Command Interpreter Window,CIW).如果出现What's New窗口,可使用File-Close命令关闭. Lab 1.2 自上而下的系统级仿真 实验目的: 掌握如何对含AHDL模块的模块级设计进行仿真. 实验步骤: 1.在CIW中选择Tool-Library Manager,会弹出库管理器(Library Manager). 2.在库管理器中,用鼠标左键选中training,则cell中会显示出training库中所有的cell;在training 的所有cell中用左键选中peakTestv;用鼠标中键(或右键)打开(open)view中的schematic.将会出现如下图所示的测试电路: 3 点击左当该模块四周出现一高亮黄色虚线框时,将鼠标置于图中peakDetectv模块上,3. . ,则模块四周线框变为白色实线框键选中该模块EditDesign-Hierarchy-Descend 设置Name将View ,,弹出Descend对话框4.选择: peakDetectv模块的电路图OK.为schematic,然后点击则出现

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

数字集成电路设计与分析

问答: Point out design objects in the figure such as :design, cell, reference, port, pin, net, then write a command to set 5 to net A Design: top Reference: ADD DFF Cell: U1 U2 Port: A B clk sum Pin: A B D Q Net: A B SIN Set_load 5 [get_nets A] why do we not choose to operate all our digital circuits at these low supply voltages? 答:1)不加区分地降低电源电压虽然对减少能耗能正面影响,但它绝对会使门的延时加大 2)一旦电源电压和本征电压(阈值电压)变得可比拟,DC特性对器件参数(如晶体管 阈值)的变化就变得越来越敏感 3)降低电源电压意味着减少信号摆幅。虽然这通常可以帮助减少系统的内部噪声(如串扰引起的噪声),但它也使设计对并不减少的外部噪声源更加敏感) 问道题: 1.CMOS静态电路中,上拉网络为什么用PMOS,下拉网络为什么用NMOS管 2.什么是亚阈值电流,当减少VT时,V GS =0时的亚阈值电流是增加还是减少? 3.什么是速度饱和效应 4.CMOS电压越低,功耗就越少?是不是数字电路电源电压越低越好,为什么? 5.如何减少门的传输延迟? P203 6.CMOS电路中有哪些类型的功耗? 7.什么是衬垫偏置效应。 8.gate-to-channel capacitance C GC,包括哪些部分 VirSim有哪几类窗口 3-6. Given the data in Table 0.1 for a short channel NMOS transistor with V DSAT = 0.6 V and k′=100 μA/V2, calculate V T0, γ, λ, 2|φf|, and W / L:

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

模拟集成电路课程设计

模拟集成电路课程设计 设计目的: 复习、巩固模拟集成电路课程所学知识,运用EDA 软件,在一定的工艺模型基础上,完成一个基本功能单元的电路结构设计、参数手工估算和电路仿真验证,并根据仿真结果与指标间的折衷关系,对重点指标进行优化,掌握电路分析、电路设计的基本方法,加深对运放、带隙基准、稳定性、功耗等相关知识点的理解,培养分析问题、解决问题的能力。 实验安排: 同学们自由组合,2 人一个设计小组选择五道题目中的一道完成,为了避免所选题目过度集中的现象,规定每个题目的最高限额为 4 组。小组成员协调好每个人的任务,分工合作,发挥团队精神,同时注意复习课堂所学内容,必要时查阅相关文献,完成设计后对 验收与考核: 该门设计实验课程的考核将采取现场验收和设计报告相结合的方式。当小组成员完成了所选题目的设计过程,并且仿真结果达到了所要求的性能指标,可以申请现场验收,向老师演示设计步骤和仿真结果,通过验收后每小组提交一份设计报告(打印版和电子版)。其中,设计指标,电路设计要求和设计报告要求的具体内容在下面的各个题目中给出了参考。成绩的评定将根据各个小组成员在完成项目中的贡献度以及验收情况和设计报告的完成度来确定。 时间安排: 机房开放时间:2013 年10 月28 日~11 月8 日,8:30~12:00,14:00~18:00 课程设计报告提交截止日期:2012 年11 月15 日 该专题实验的总学时为48 学时(1.5 学分),请同学们安排好知识复习,理论计算与上机设计的时间,该实验以上机设计为主,在机房开放时间内保证5 天以上的上机时间,我们将实行每天上下午不定时签到制度。 工艺与模型: 采用某工艺厂提供的两层多晶、两层金属(2p2m)的0.5um CMOS 工艺,model 文件为/data/wanghy/anglog/model/s05mixdtssa01v11.scs 。绘制电路图时,器件从/data/wanghy/ anglog/st02 库中调用,采用以下器件完成设计: 1)PMOS 模型名mp,NMOS 模型名mn;2) BJT 三种模型可选:qvp5,qvp10,qvp20;3) 电阻模型rhr1k; 4)电容模型cpip。

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

集成电路设计基础复习要点

集成电路设计基础复习要点 第一章集成电路设计概述 1、哪一年在哪儿发明了晶体管?发明人哪一年获得了诺贝尔奖? 2、世界上第一片集成电路是哪一年在哪儿制造出来的?发明人哪一 年为此获得诺贝尔奖? 3、什么是晶圆?晶圆的材料是什么? 4、晶圆的度量单位是什么?当前主流晶圆尺寸是多少?目前最大晶 圆尺寸是多少? 5、摩尔是哪个公司的创始人?什么是摩尔定律? 6、什么是SoC?英文全拼是什么? 7、说出Foundry、Fabless和Chipless的中文含义。 8、什么是集成电路的一体化(IDM)实现模式? 9、什么是集成电路的无生产线(Fabless)设计模式? 10、目前集成电路技术发展的一个重要特征是什么? 11、一个工艺设计文件(PDK)包含哪些内容? 12、什么叫“流片”? 13、什么叫多项目晶圆(MPW) ?MPW英文全拼是什么? 14、集成电路设计需要哪些知识范围? 15、著名的集成电路分析程序是什么?有哪些著名公司开发了集成电 路设计工具?

16、SSI、MSI、LSI、VLSI、ULDI的中文含义是什么?英文全拼是 什么?每个对应产品芯片上大约有多少晶体管数目? 17、国内近几年成立的集成电路代工厂家或转向为代工的厂家主要有 哪些? 18、境外主要代工厂家和主导工艺有哪些? 第二章集成电路材料、结构与理论 1、电子系统特别是微电子系统应用的材料有哪些? 2、常用的半导体材料有哪些? 3、半导体材料得到广泛应用的原因是什么? 4、为什么市场上90%的IC产品都是基于Si工艺的? 5、砷化镓(GaAs) 和其它III/V族化合物器件的主要特点是什么? 6、GaAs晶体管最高工作频率f T可达多少?最快的Si晶体管能达到多 少? 7、GaAs集成电路主要有几种有源器件? 8、为什么说InP适合做发光器件和OEIC? 9、IC系统中常用的几种绝缘材料是什么? 10、什么是欧姆接触和肖特基接触? 11、多晶硅有什么特点? 12、什么是材料系统?

相关文档
最新文档