数字电路题库

数字电路题库
数字电路题库

试卷A

一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每小题2分,共20分) 1.将十进制数(18)10转换成八进制数是 [ ]

① 20 ② 22 ③ 21 ④ 23 2. 三变量函数()BC A C B A F

+=,,的最小项表示中不含下列哪项 [ ]

① m2 ② m5 ③ m3 ④ m7 3.一片64k ×8存储容量的只读存储器(ROM ),有 [ ]

①64条地址线和8条数据线 ②64条地址线和16条数据线 ③16条地址线和8条数据线 ④16条地址线和16条数据线 4.下列关于TTL 与非门的输出电阻描述中,正确的是 [ ] ①门开态时输出电阻比关态时大 ②两种状态都是无穷大输出电阻 ③门关态时输出电阻比开态时大 ④两种状态都没有输出电阻 5.以下各种ADC 中,转换速度最慢的是 [ ]

① 并联比较型 ② 逐次逼进型 ③ 双积分型 ④ 以上各型速度相同 6. 关于PAL 器件与或阵列说法正确的是 [ ]

① 只有与阵列可编程 ② 都是可编程的③ 只有或阵列可编程 ④ 都是不可编程的 7. 当三态门输出高阻状态时,输出电阻为 [ ]

① 无穷大 ② 约100欧姆 ③ 无穷小 ④ 约10欧姆 8.通常DAC 中的输出端运算放大器作用是 [ ]

① 倒相 ② 放大③ 积分 ④ 求和 9. 16个触发器构成计数器,该计数器可能的最大计数模值是 [ ]

① 16 ② 32 ③ 162 ④ 216 10.一个64选1的数据选择器有( )个选择控制信号输入端。 [ ]

① 6 ② 16 ③ 32 ④ 64 二、填空题(把正确的内容填在题后的括号内。每空1分,共15分。)

1.已知一个四变量的逻辑函数的标准最小项表示为()()13,11,9,8,6,4,3,2,0,,,m d c b a F ∑=,

那么用最小项标准表示

=*F ,以及=F

,使用最大项标准表示

=F ,以及=F 。

2.具有典型实用意义的可编程逻辑器件包括 , , , 。

3.为了构成4K ×16bit 的RAM ,需要 块1K ×8bit 的RAM ,地址线的 高 位作为地址译码的输入,地址译码使用的是 译码器。 4.在AD 的量化中,最小量化单位为Δ,如果使用四舍五入法,最大量化误差为 Δ,如果使用舍去小数法,最大量化误差为 Δ。 5.如果用J-K 触发器来实现T 触发器功能,则T,J,K 三者关系为 ;如果要用J-K 触发器来实现D 触发器功能,则D,J,K 三者关系为 。 三、 简答题(每小题5分,共10分)

1.用基本公式和定理证明下列等式:

()ABC BC A C AB B C AB ++=+

2.给出J-K 触发器的特征方程,状态转移真值表,状态转移图。 四、 分析题(25分)

1.8选1数据选择器CC4512的逻辑功能如表4.1所示。试写出图4.1所示电路输出端F 的最简与或形式的表达式。(9分)

表4.1 CC4512功能表

2. 如图4.2电路由CMOS 传输门构成。试写出输出端的逻辑表达式。

(8分)

图4.2

3. 试分析图4.3所示时序电路。(8分) (1) 该电路是同步的还是异步的?

(2) 列出状态转移表和画出状态转移图,并说明电路的逻辑功能。

五、设计题(30分)

1. 设计一个PLA 形式的全减器。设A 为被减数,B 为减数,C 为低位借位,差为D ,向高位的借位为CO 。完成对PLA 逻辑阵列图的

编程。(10分)

图5.1 PLA 逻辑阵列图

2. 试用555定时器设计一个多谐振荡器,要求输出脉冲的振荡频率为500 Hz ,占空比等于60%,积分电容等于1000 pF 。(10分) (1)画出电路连接图; (2)画出工作波形图; (3)计算R 1、R 2的取值。

3.

用中规模集成十六进制同步计数器74161设计一个13进制的计数器。要求计数器必须包括状态0000和1111,并且利用CO 端作13

进制计数器的进位输出。74161的功能表如下,可以附加必要的门电路(10分)

图5.2

试卷A_解答

一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每小题2分,共20分) 1. ② 2. ① 3. ③ 4. ① 5. ③

6. ①

7. ①

8. ④

9. ④ 10. ①

二、填空题(把正确的内容填在题后的括号内。每空1分,共15分。) 1. ∑m(2,4,6,7,9,11,12,13,15)

∑m(1,5,7,10,12,14,15) ∏M(1,5,7,10,12,14,15) ∏M(0,2,3,4,6,8,9,11,13) 2. PLA, PAL, GAL, CPLD 等 3. 8, 2, 2-4

4. ±2

1, +1

5. T=J=K , D=J=K

五、 简答题(每小题5分,共10分) 1.证:右=)C A (B )C C A (B )A A (BC C AB +=+=++

左=

)C A (B BC AB +=+=右, 证毕!

2.特征方程:n

n

1

n Q K Q J Q +=+

(1分) 状态转移真值表:

(2分)

状态转移图: (2分)

J=1,K=×

J=× K=0

四、分析题(25分)

1.(9分)

解:根据数据选择器的工作原理,由图可得:

分)分)4(D

C C B C A 5(1C AB 1C B A 1C B A

D C B A F ++=?+?+?+?=

2.(8分) 解:

F 1=A

(4分) F 2=AB (4分)

3.(8分) 解:

(1)是异步的。 (2分) (2)由图可得电路得状态方程为: (6分)

↓?=↓?=↑?=+++2n 31n 31n 21n 2n 11n 1Q Q Q Q Q Q CP Q Q

由状态方程可得状态转移表如下:

由状态转移表可画出状态转移图:

功能:8进制计数器。

五、设计题(30分)

1.(10分)

解:由题意可得真值表为:(3分)

卡诺图为:(3分)

编程图为:(4分)

图5.1 PLA 逻辑阵列图

2.(10分) 解:

(1)电路连接图如下:

(4分)

(2)电路工作波形图如下:

V 3

2

V 3

1

(3)t w1=0.7(R 1+R 2)C

(3分)

t w2=0.7R 2C 由题意:

500/1C R 7.0)R R (7.0221=++

6

.0R 2R R R C R 7.0C )R R (7.0C )R R (7.02

12

122121=++=+++

解得: R 2=2R 1 R 1=571.4K ω, 则R 2=1142.9 K ω 3.(10分)

解:设计电路如下图:

试题B

一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每小题2分,共20分) 1. 将十进制数(3.5)10转换成二进制数是 [ ]

① 11.11 ② 10.11 ③ 10.01 ④ 11.10 2. 函数()B A A F ⊕=的结果是 [ ]

AB

B A ③B A ④ B

A

3. 一片2k ×16存储容量的只读存储器(ROM ),有[ ]个字节 ①2000 ②4000 ③2048 ④4096

4. 下列关于TTL 与非门的输出电阻描述中,正确的是 [ ] ①门开态时输出电阻比关态时大 ②两种状态都是无穷大输出电阻 ③门关态时输出电阻比开态时大 ④两种状态都没有输出电阻

5. 在ADC 工作过程中,包括保持a ,采样b ,编码c ,量化d 四个过程,他们先后顺序应该是 [ ] ① abcd ② bcda ③ cbad ④ badc

6. 第一种具有实用意义的可编程器件是 [ ]

① PAL ② GAL ③ CPLD ④ FPGA 7. 可以直接现与的器件是 [ ]

① OC 门 ② I 2L 门③ ECL 门 ④ TTL 门 8. 一个时钟占空比为1:4,则一个周期内高低电平持续时间之比为 [ ]

① 1:3 ② 1:4③ 1:5 ④ 1:6

9. 一个二进制序列检测电路,当输入序列中连续输入5位数码均为1时,电路输出1,则同步时序电路最简状态数为 [ ] ① 4 ② 5③ 6 ④ 7 10. 芯片74LS04中,LS 表示 [ ]

高速COMS ② 低功耗肖特基③ 低速肖特基 ④ 低密度高速

二、填空题(把正确的内容填在题后的括号内。每空2分,共30分。)

1. 如图1所示电路,有2REF 1REF V V >。当输入电压1REF I V v >时,输出电压为 ,当输入电压2REF I V v ?时,输出电压为 。

图1

2、对于同步计数器74161,如果输入时钟是周期方波,在正常计数时,进位输出保持高电平的时间为 个周期。

3.4位DAC 中,基准电压=10V ,D3D2D1D0=1010时对应的输出电压为 。

4.D 触发器的状态方程为 ;如果用D 触发器来实现T 触发器功能,则T 、D 间的关系为 ;如果要用D 触发器来实现J-K 触发器功能,则D,J,K 三者关系为 。

5.为了构成8K×32bit 的RAM ,需要 块2K×8bit 的RAM ,地址线的高

位作为地址译码的输入。

6. PAL 由 阵列, 阵列和 单元构成,其中, 阵列是可编程的。

7. 要构成17进制计数器最少需要 个触发器。

8.由555定时器构成的单稳触发器,输出脉宽T W ≈ 。 三、分析题(共30分)

1. 已知七段数码管为共阴数码管,译码器为图2所示,输入是0-9的四位8421BCD 码(

0123A A A A ),为了使数码管显示出相应

输入,则给出译码器7段输出(abcdefg )真值表,如果使用四位地址线的PROM 实现该功能,画出阵列图。(7分)

2. 通过时序图分析如图3电路的功能,已知输入是周期方波。(7分)

图3

3. 分析图4所示时序电路。(8分) (1) 该电路是同步的还是异步的?

(2) 列出驱动方程,状态方程,输出方程 ,状态转移表和画出状态转移图。

图4

4. 给出如图5所示电容正反馈多谐振荡器在充电和放电阶段的等效电路图。(8分)

图 5

四、设计题(每题10分,共20分)

1. 利用一片二-十进制译码器,接成一位全减器(即一位带借位输入的二进制减法电路),可以附加必要的门电路(A 为被减数,B 为减

数,CI 为借位输入,F 为差,CO 为借位输出)

2.设计一个同步时序电路,只有在连续两个或者两个以上时钟作用期间两个输入信号X 1和X 2一致时,输出才为1,其余情况输出为0。

试卷B_解答

A 0

A 1

A 2 A 3

一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每小题2分,共20分) 1. ④ 2. ③ 3. ④ 4. ③ 5. ④ 6. ① 7. ① 8. ② 9. ② 10. ②

二、填空题(把正确的内容填在题后的括号内。每空2分,共30分。)

1、V I ,V REF2

2、1个

3、-6.25V

4、D Q

1

n =+,n n

Q T Q T D +=,

n n

Q K Q J D +=

5、16,2

6、 与,或,输出反馈,或

7、 5

8、1.1RC

三、分析题(共30分) 1、解: 列出真值表:

阵列图

2、解:

电路功能是对时钟四分频,其时序图为

3、解:

(1) 电路是异步电路 (2) 驱动方程

??

?==?????==?????==1

K 1

J 1

K Q Q J 1K Q J 332n 3

n 121n 2

1

状态方程

?????↓

=↓=↓=+++n 1n 31n 3n

1n 2n 31n 2n 1n 21n 1Q .Q Q CP .Q Q Q Q CP .Q Q Q

输出方程

n

n Q Q Z 13=

状态转移表

状态转移图

4、解:

放电回路等效 充电回路等效

四 设计题

1、

写出F 和

ABCI CI B A CI B A CI B A ABCI CI B A CI B A CI B A F =+++=

ABCI CI B A CI B A CI B A ABCI CI B A CI B A CI B A F =+++=

画电路图:

2、 解:由于只有两个状态,所以只需要一位触发器,设S0为Q=0,S1为Q=1,列出状态转移图:

S0

S1

01/0,10/0 00/1 11/1

01/0 10/0

00/0,11/0

X 1X 2/Z

画出状态转移表:

1X 2X

n Q 1+n Q Z

0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

1 0 1 1 0 0 0 0 0 0 0 0 1 0 1 1

画出卡诺图:

00 1 1 0 0 0 1

01 X 1X 2

Q 1 1 0

11 10 Q n+1

00 0 1 0 0 0 1

01 X 1X 2

Q 0 1 0

11 10 Z

写出状态方程和输出方程:

121211X X X X X Q n =+=+⊙2X

1(X Z =⊙n Q X )2

画出电路图:

试题C

一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每小题2分,共20分) 1.将十进制数(18)10转换成八进制数是 [ ]

① 20 ② 22 ③ 21 ④ 23 2. 三变量函数()BC A C B A F

+=,,的最小项表示中不含下列哪项 [ ]

① m2 ② m5 ③ m3 ④ m7 3.一片64k ×8存储容量的只读存储器(ROM ),有 [ ] ①64条地址线和8条数据线 ②64条地址线和16条数据线 ③16条地址线和8条数据线 ④16条地址线和16条数据线 4.下列关于TTL 与非门的输出电阻描述中,正确的是 [ ] ①门开态时输出电阻比关态时大 ②两种状态都是无穷大输出电阻 ③门关态时输出电阻比开态时大 ④两种状态都没有输出电阻 5.以下各种ADC 中,转换速度最慢的是 [ ]

① 并联比较型 ② 逐次逼进型③ 双积分型 ④ 以上各型速度相同 6. 关于PAL 器件与或阵列说法正确的是 [ ]

① 只有与阵列可编程 ② 都是可编程的③ 只有或阵列可编程 ④ 都是不可编程的 7. 当三态门输出高阻状态时,输出电阻为 [ ]

① 无穷大 ② 约100欧姆③ 无穷小 ④ 约10欧姆 8.通常DAC 中的输出端运算放大器作用是 [ ]

① 倒相 ② 放大③ 积分 ④ 求和 9. 16个触发器构成计数器,该计数器可能的最大计数模值是 [ ]

① 16 ② 32③ 162 ④ 216 10.一个64选1的数据选择器有( )个选择控制信号输入端。 [ ]

① 6 ② 16③ 32 ④ 64 二、填空题(把正确的内容填在题后的括号内。每空1分,共15分。)

1.已知一个四变量的逻辑函数的标准最小项表示为()()13,11,9,8,6,4,3,2,0,,,

m d c b a F ∑=,

那么用最小项标准表示

=*F ,以及=F

,使用最大项标准表示

=F ,以及=F 。

2.具有典型实用意义的可编程逻辑器件包括 , , , 。

3.为了构成4K ×16bit 的RAM ,需要 块1K ×8bit 的RAM ,地址线的 高 位作为地址译码的输入,地址译码使用的是 译码器。 4.在AD 的量化中,最小量化单位为Δ,如果使用四舍五入法,最大量化误差为 Δ,如果使用舍去小数法,最大量化误差为 Δ。 5.如果用J-K 触发器来实现T 触发器功能,则T,J,K 三者关系为 ;如果要用J-K 触发器来实现D 触发器功能,则D,J,K 三者关系为 。 六、 简答题(每小题5分,共10分)

1.用基本公式和定理证明下列等式:

()ABC BC A C AB B C AB ++=+

2.给出J-K 触发器的特征方程,状态转移真值表,状态转移图。 七、 分析题(25分)

1.8选1数据选择器CC4512的逻辑功能如表4.1所示。试写出图4.1所示电路输出端F 的最简与或形式的表达式。(9分)

表4.1 CC4512功能表

2. 如图4.2电路由CMOS 传输门构成。试写出输出端的逻辑表达式。(8分)

图4.2

4. 试分析图4.3所示时序电路。(8分) (1) 该电路是同步的还是异步的?

(2) 列出状态转移表和画出状态转移图,并说明电路的逻辑功能。

五、设计题(30分)

4. 设计一个PLA 形式的全减器。设A 为被减数,B 为减数,C 为低位借位,差为D ,向高位的借位为CO 。完成对PLA 逻辑阵列图的

编程。(10分)

图5.1 PLA 逻辑阵列图

5. 试用555定时器设计一个多谐振荡器,要求输出脉冲的振荡频率为500 Hz ,占空比等于60%,积分电容等于1000 pF 。(10分) (1)画出电路连接图; (2)画出工作波形图; (3)计算R 1、R 2的取值。

6. 用中规模集成十六进制同步计数器74161设计一个13进制的计数器。要求计数器必须包括状态0000和1111,并且利用CO 端作13

进制计数器的进位输出。74161的功能表如下,可以附加必要的门电路(10分)

图5.2

试卷C 答案

一.选择题(18分)

1. c 2. c 3. c 4. c 5.b 6. A 7. B 8. A 9. B 二.判断题(10分) 1.( √ )2.( × )3.( × )4.( √ )5.( √ )6.( √ )7.( √ )8.( × )9.( × )10.( √ ) 三.计算题 解:(1)0.3V Vi

=时,三极管截止,工作在截止区,5V Vo =;

(2)5V V i

=时,三极管导通,工作在饱和区,V V ce 0V

(max)o ≈=

四、分析题 1.①D A Y

+=

②AC D A B Y

++=

2、

(1)Q n+11=XQ 2 Q n+12=21Q Q Y=XQ 1

2Q

(2)

(3)当X=1时,该电路为三进制计数器 五:应用题 1. 解:(1)由图可以写出表达式:

C B A Y ⊕⊕=1

BC AC AB Y ++=2

2. 解:(1)输入A 、B 、C 按题中设定,并设输出

M L =1时,开小水泵 M L =0时,关小水泵 M S =1时,开大水泵 M S =1时,关大水泵;

ABC C AB BC A C B A B M L +++== 7

6327632m m m m m m m m M L ???=+++=

C B A ABC C AB C B A C B A C B A M S ++++=+=

7

654176541m m m m m m m m m m M S ????=++++=

(4)令A=A,B=B,C=C ,画出电路图:

(1)“0101” “1111” “1111” (2)“0110”时复位 4、(1)单稳态 (2)20mS

试题 D

选择题(18分)

1.下列说法正确的是( )

a. 2个OC 结构与非门线与得到与或非门。

b. 与门不能做成集电集开路输出结构

c. 或门不能做成集电集开路输出结构

d. 或非门不能做成集电集开路输出结构 2.下列说法正确的是( )

a. 利用三态门电路只可单向传输

b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c.三态门是普通电路的基础上附加控制电路而构成。 d.利用三态门电路可实现双向传输

3.TTL 反相器输入为低电平时其静态输入电流约为() a .-100mA b .+5mA c .-1mA

d .-500mA

4.下列等式不正确的是( )

a.ABC =A +B +C

b. (A+B)(A+C) =A+BC

c. A( B A +)=A+B

d. AB+A C+BC=AB+A C 5.下列等式正确的是( )

a.

A+AB+B=A +B b. AB+ A B =A+ B

c. A( AB )=A+B

d. A =B 6.下列描述不正确的是( )

a .D 触发器具有两个有效状态,当Q=0时触发器处于0态

b .移位寄存器除具有数据寄存功能外还可构成计数器

c .主从JK 触发器的主触发器具有一次翻转性

d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“110”,请问时钟作用下,触发器下一状态为( )

图1

a .“101”

b .“010”

c .“110”

d .“111” 8、下列描述不正确的是( )

a .译码器、数据选择器、EPROM 均可用于实现组合逻辑函数。

b .寄存器、存储器均可用于存储数据。

c .将移位寄存器首尾相连可构成环形计数器

d .上面描述至少有一个不正确 9.下列描述不正确的是( )

a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便

b .右图所示为由555定时器接成的多谐振荡器

c .DAC 的含义是数-模转换、ADC 的含义是模数转换

d .上面描述至少有一个不正确

二. 判断题(9分)

1.两个二进制数相加,并加上来自高位的进位,称为全加,所用的电路为全加器( ) 2.在优先编码器电路中允许同时输入2个以上的编码信号( ) 3.利用三态门可以实现数据的双向传输。() 4.有些OC 门能直接驱动小型继电器。()

5.构成一个5进制计数器需要5个触发器( ) 6. RS 触发器、JK 触发器均具有状态翻转功能( )

7. 当时序逻辑电路存在有效循环时该电路能自启动( )

8. 施密特触发器电路具有两个稳态,而单稳态触发器电路只具有一个稳态( ) 9.可用ADC 将麦克风信号转换后送入计算机中处理时( ) 三.计算题(8分)

1、在图1的反相器电路中,Vcc=5V,VEE=-10V,Rc=2K Ω,R1=5.1K Ω,R2=20K Ω,三极管的电流放大系数β=30,饱和压降V CE(sat0=0.1V,输入的高

Y

图3

2.已知一个8位权电阻DAC 输入的8位二进制数码用16进制表示为40H ,参考电源U REF =-8V ,取转换比例系数R

R F

2为1。求转

换后的模拟信号由电压U O

四.分析题(24分)

1. 用卡诺图法将下列函数化为最简与或式

1)、Y=A B +B C +A +B +ABC

74LS161功能表

CR LD CT P CT T CP D 0 D 1 D 2

D 3

Q 0 Q 1 Q 2 Q 3

0 × × × × ××××

1 0 × × ↑ d 0d 1 d

2 d 3

1 1 1 1 ↑ ×××

0 0 0 0 d 0 d 1 d 2 d 3

2)、Y(A,B,C,D)=

)m10, m7m6,m5,m3,(,给定的约束条件为 m 0+ m 1+m 2+m 4+m 8=0

2.分析下面的电路并回答问题(触发器为TTL 系列)

图4

2. 3---8译码器74LS138的真值表如下:

3---8译码器74LS138的真值表

请利用3—8输出的逻辑式为:

Z1=A +BC+A C Z2=A B+A B C Z3=A B C +B C +ABC

3. 74LS161逻辑符号及功能表如下

(1)假定161当前状态Q 3 Q 2 Q 1Q 0为“1101”请问在几个CP ↑作用下,CO 信号将产生下降沿?

(2)请用置数法设计一个七进制记数器(可附加必要的门电路)并画

输出U O T D 状态 0 导通

0 导通

1 截止

保持 保持

1 截止

出状态图

试题D --答案

三. 选择题(18分)

1. a 2.b 3. c 4. c 5. a 6. a 7.D 8.D 9 B

四. 判断题(9分)

1. × 2. √ 3. √ 4. √

5. × 6. × 7.× 8. √ 9. √

三.计算题(8分)

答:VI=0V,B-E 为反电压,Ic=0,V0=VCC=5V

VI=5V, B-E 为正电压,导通后VBE=0.7V,计算得Ib=0.308mA>Ibs=(5-0.1)/(2*30)=0.082mA.饱和,V0=V CE(sat0=0.1V. 2. 2V

四.分析题(24分)

2. 用卡诺图法将下列函数化为最简与或式

1)、Y=A B +B C +A +B +ABC P41—13(3)

Y= C +A +B +C +ABC=1

2)、Y(A,B,C,D)=

)m10, m7m6,m5,m3,(,给定的约束条件为 m 0+ m 1+m 2+m 4+m 8=0

P43-20(4) Y=B D +A 2.

3.(1)3个CP ↑

4.输入信号U I =0电路不工作;输入信号U I =1,多谐振荡器

五.应用题(41分) 1、

分析图2所示电路,写出输出Z 的逻辑函数式。并用卡洛图法化简为最简与或式。 p182---14

8选1数据选择器CC4512的功能表如下

图2

答:

A2=A,A1=B,A0=C.

Z=D(A B C +A B C+A B C +A B C)+A B C +D AB C =B D+A B C +B C D

2、

利用3—8译码器和若干与或非门设计一个多输出的组合逻辑电路。

输出的逻辑式为: P158

Z1=A C +A BC+A B C Z2=A B+A B C Z3=A B C +B C +ABC

(图4)

答:Z1=A +A BC+A B C= m3+m4+m5+m6

Z2=A B+A B C=m2+m3+m5

Z3=A B C +B C +ABC =m0+m2+m4+m7

一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每小题2分,共20分)

1.下列四个数中,与十进制数(163)10不相等的是()

A、(A3)16

B、(10100011)2

C、(000101100011)8421BCD

D、(203)8

2.N个变量可以构成多少个最大项()

A、N

B、2N

C、2N

D、2N-1

3.下列功能不是二极管的常用功能的是()

A、检波

B、开关

C、放大

D、整流

4.关于器件74LS02中,LS是指( )

A、低电压,肖特基

B、低速度,肖特基

C、低功耗,肖特基

D、低电压,低速度

5.译码器的输入地址线为4根,那么输出线为多少根()

A、8

B、12

C、16

D、20

6.用或非门构成钟控R-S触发器发生竞争现象时,输入端的变化是()

A、00→11

B、01→10

C、11→00

D、10→01

7.一个4K赫兹的方波信号经4分频后,下列说法错误的是()

A、频率变为1K赫兹

B、周期为2π×10-3秒

C、信号频带宽度变小

D、模4同步计数器有4个有效状态8.用PROM来实现组合逻辑电路,他的可编程阵列是()

A、与阵列

B、或阵列

C、与阵列和或阵列都可以

D、以上说法都不对

9.A/D转换器中,转换速度最高的为( )转换

A、并联比较型

B、逐次逼近型

C、双积分型

D、计数型

10.MAXPLUS-II是哪个PLD厂家的PLD开发软件()

A、Lattice

B、Altera

C、Xilinx

D、Actel

二、填空题(把正确的内容填在题后的括号内。每小题3分,共15分。)

1.存储器按存取方式可分为三类,即:

[ ,,]

2.设4位逐次逼近型A/D转换器的电压转换范围为0-15V,采用四舍五入法量化,模拟输入电压为8.59V,转换的逼近过程是(其中括号中用 表示保留,×表示不保留)

[ ( )→( )→( )→( )→]

3.时序电路中的时序图的主要作用是:

[ ,]

4.施密特触发器在波形整形应用中能有效消除叠加在脉冲信号上的噪声,是因为它具有什么特性?

[ ]

5.既能传送模拟信号,又能传送数字信号的门电路是

[ ]

三、简答题(每小题5分,共10分)

1.请写出RS、JK、D、T触发器的状态转移方程,并解释为什么有的触发器有约束方程。

2.请回答两个状态等价的条件是什么?

四、分析题(25分)

1.分析如图由3线-8线译码器74LS138构成的电路,写出输出S i和C i的逻辑函数表达式,说明其逻辑功能。(6分)

2.问图示电路的计数长度N是多少?能自启动吗?画出状态转换图。(7分)

SH/为移位和同步置数控制端,CR为异步清3.分析如图电路,列出状态转换图,说明它的功能。其中74195为集成移位寄存器器,LD

零端,J和K为工作方式控制端,控制功能表如下。

(12分)

五、设计题(30分)

1.8选1数据选择器CC4512的逻辑功能如表所示,电路符号如图所示。用CC4512和最少的门电路产生如下逻辑函数,要求变量ABC 分别对应于A2A1A0输入管脚,画出降维图和电路连接图。(15分)

(+

F)

AB

+

CD

=

+

+

D

A

C

D

B

A

C

B

CD

CC4512功能表

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电路设计试题湖南大学版

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者X X’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分)

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

中南大学数字电子技术基础期末考试试卷(四套附答案)

中南大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1. 逻辑函数Y = AB-^C的两种标准形式分别为 ()、()。 2. 将2004个“1 ”异或起来得到的结果是()。 3. 半导体存储器的结构主要包含三个部分,分别是()、()、()。 4. 8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则 输出电压为()v;当输入为10001000,则输出电压为()V。 5. 就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰 能力强,()的转换速度快。 6. 由555定时器构成的三种电路中,()和()是脉冲 的整形电路。 7. 与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用 了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方 便灵活。 二、根据要求作题:(共15分) 1. 将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2. 图1、2中电路均由CMOS门电路构成,写出P、Q的表达式,并画出对应A、B、C的 P、Q波形。

A B C p 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000-111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421 BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图o (15分) 五、已知电路及CP. A的波形如图4(a)(b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数C B C A C B A Y ++??=1(5分) 答案:C B A B A C C B C A C B A Y +)+?(=++??=1(1分)=C B A B C +)+((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知7400为四个2输入与非门,其20=OL I ,1=OH I ,2=IL I ,μA 50=IH I ,计算7400最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,(2分);IH H OH 2≥I N I ,(2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应、、D 的Q 端波形。(4分) 答案: 5. 8位数模转换器0832构成的电路如图1.2所示。(1)写出输出电压O v 的计算公式;(2)若输入数 AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图Q PR PR (a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -(3分) (2)=6.3-78 REF 2×2 V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2.73578 (2分) 二(10分)、 图2 (a )由集成3线-8线译码器74138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74138的功能表如图(b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74151的逻辑符号如图3所示,试用74151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 D m D m D m D m D m D m D m ?+?+?+?+?+?+?=7643100(3分) V O v 2 .1图 G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a) (b)A B Y 10000001111110000000C 11 1111 111Y 200 00 11 11001 输 入输 出

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数B A B A Y ++??=1(5分) 答案:C B A A C C B C A C A Y +)+?(=++??=1(1分)=C B A C +) +((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知74LS00为四个2输入与非门,其20=OL I mA ,1=OH I mA ,2=IL I mA ,μA 50=IH I ,计算74LS00最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,10=≤ IL OL L I I N (2分);IH H OH 2≥I N I ,10=2≤IH OH H I I N (2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应CLK 、、D 的Q 端波形。(4分) 答案: AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图(a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -i i i D V 22 ∑ 7 8 REF (3分) (2)=6.3-7 8 REF 2×2V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2 .73578(2分) 二(10分)、 图2( a )由集成3线-8线译码器74HC138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74HC138的功能表如图( b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74HC151的逻辑符号如图3所示,试用74HC151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 V O v 2 .1图 Y G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a)(b)A B Y 10000001111110000000C 11 1111111Y 200 00 11 11001输 入 输 出

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

数字电子技术试卷试题答案汇总(完整版)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规 则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与 非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方 程 , 主从JK 触发器的特性方程 ,D 触发器的特性方 程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲 同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( )

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电路期末试题及答案(绝密)

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) 1.逻辑函数Y A B C =+的两种标准形式分别为 ()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。

三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。 四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

山东师范大学数字电路期末考试试卷

第1页(共8页) 第2页(共8页) 《数字电路》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. 下图所示电路中, Y 1=( );Y 2 =( );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值 是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

数字电路设计试题湖南大学版完整版

数字电路设计试题湖南 大学版 集团标准化办公室:[VV986T-J682P28-JP266L8-68PNN]

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者XX’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快为什么 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为

相关文档
最新文档