数字电路复习指导部分答案

数字电路复习指导部分答案
数字电路复习指导部分答案

第一章 逻辑代数基础

1.1 数制转换

1. (46.125)10= ( 101110.001 )2 =( 56.1 )8=( 2E.2 )16

2. (1

3.A)16=( 00010011.1010 )2=( 19.625 )10 3. (10011.1)2=( 23.4 )8=( 19.5 )10

1.2 写出下列数的八位二进制数的原码、反码、补码

原码,就是用最高位表示数符(0表示正数、1表示负数)。正数,原码=反码=补码;负数,反码:除符号位以外,对原码逐位取反;补码:反码+1

1.(-35)10= (10100011 )原码= (11011100)反码=(11011101)补码

2. (+35)10 = (00100011 )原码= (00100011)反码=(00100011)补码

3. (-110101)2 = (10110101 )原码= (11001010)反码=(11001011)补码

4. (+110101)2 = (00110101 )原码= (00110101)反码=(00110101)补码

5. (-17)8=(10001111 )原码= (11110000)反码=(11110001)补码 1.3. 将下列三位BCD 码转换为十进制数

根据BCD 码的编码规则,四位一组展成对应的十进制数。 1. (10110010110)余3码 = (263)10 2. (10110010110)8421码= (596)10 1.4 分别求下列函数的对偶式Y ‘

和反函数Y

1. D C B A Y ++=)(

D C B A Y ?+?=)(' D C B A Y ?+?=)(

2. D A C B A Y ++=

)()('D A C B A Y +??+= D C B A Y ?+?=)(

1.5 求下列函数的与非-与非式。

1. B A AB Y +=

B A AB Y ?=

1.6 将下列函数展成最小项之和的标准形式

1. Y=C B B A ?+?

C

B A

C B A C B A C B A C B A C B A C B A A A C B C C B A Y ??+??+??=??+??+??+??=+??++??=)()(

2. Q R S Y +=

SRQ

Q SR Q R S Q R S Q R S S S Q R Q Q R R S Q R S Y ++++=++++=+=)())((

1.7 用公式法化简下列函数

1. C AB C B BC A AC C B A Y +++=),,(

C

C AB C C

AB B B A A C C AB C B BC A AC C B A Y =+=+++=+++=)(),,(

2. D D C C B C A AB Y ++++=

1

1)()()(=++=++++=++++=++++=D C D C B A AB D C C B C A AB D D C C B C A AB Y

1.8 用卡诺图化简下列逻辑函数

1. ∑=

)15,14,13,12,11,10,6,5,4,2(),,,(m D C B A Y

D C AC C B Y ++=

2. ∑∑+=

)11,9,8,3,1,0()15,12,7,6,4,2(),,,(d m D C B A Y

C A C

D D C Y ++=

3.

:)9,8,7,5,2,1,0(),,,(=+=∑AC AB m D C B A Y 约束条件

第二章 门电路

三、例题

1.指出下图中由TTL 门电路组成的逻辑电路的输出是什么(高电平、低电平、高阻)?

解:Y1= 低电平 Y2= 高电平 Y3= 高阻 Y4= 高电平 2. 已知图示TTL 门电路的输入端波形,试分别画出Y1、Y2、Y3、Y4的输出波形。

解:波形如图所示

3.下图电路均由TTL 门组成,R ON =2K ,R OFF =0.7K ,试分别写出输出函数的表达式。

解:0011=+?+?=B C A Y

D C B A Y +?+=2

C AB C B C A C AB C AB C AB Y ++=+=⊕=3

4.已知CMOS 逻辑电路如图所示,试写出输出逻辑函数Y1、Y2的表达式。

解:A Y =1 C B AC Y +=2

5.TTL 门电路如图所示。

(1)图中多余输入端B 应接 。

(2)为使图中电路F 1=f (A,C)正常工作,该电路是否还有错误?为什么?如有错误,请改正。 在上述(1)、(2)问题解决后:

(3)如A=1、C=0,1门输出Y ,F 1= ; 如A=1、C=1,1门输出Y ,F 1= ;

解:

(1)图中多余输入端B 应接 低电平 。

(2)或非门输入端通过10K 电阻接地,相当于常接高电平,封锁了或非门,使它出低电平,与A 、C 无关了。因此,为使图中电路F 1=f (A,C)正常工作,该电路确实有错误。 改正:把10K 电阻改换为小于700Ω的电阻即可。

(3)如A=1、C=0,1门输出Y 0 ,F 1= 1 ; 如A=1、C=1,1门输出Y 高阻 ,F 1= 0 ;

6.已知逻辑电路如图所示,试分别写出Y1、Y2、Y3、Y4的输出逻辑值。

解:11=Y

02=Y =3Y 高阻 04=Y

第三章 组合逻辑电路

1.组合电路如图所示,分析该电路的逻辑功能。

解:

(1)

A B C P =

CP BP AP L ++=ABC C ABC B ABC A ++= (2)化简与变换

C

B A AB

C C B A ABC C B A ABC L +=+++=++=)((3)由表达式列出真值表

(4)分析逻辑功能

由真值表可知,当A 、B 、C 三个变量不一致时,电路输出为“1”,所以这个电路称为“不一致电路”。

2.由3线-8线译码74LS138(输出低电平有效)和4选1数据选择器(74LS153)组成如图所示的电路,B 1、B 2和C 1、C 2为二组二进制数,试列出真值表,并说明功能。

解: 212101122321

A B

C

L

021*********

Y C C Y C C Y C C Y C C =?+?+?+?

21021210212102121021A A A C C A A A

C C A A A C C A A A C C =?+?+?+?2121212121212121B B C C B B C C B B C C B B C C =?+?+?+?

功能说明:

由地址码C 2C 1选择B 2B 1的最小项的反变量输出

3.设计一个监视交通信号灯工作状态的逻辑电路。正常情况下,红、黄、绿灯只有一个亮,否则视为故障状态,发出报警信号,提醒有关人员修理。 要求:(1)用门电路实现(2)用3-8线译码器实现(3)用4选1数据选择器实现。

解:

(1)用门电路实现 ①逻辑抽象

输入变量:R 、A 、G ,红、黄、绿灯;灯亮为1,不亮为0。 输出变量:Z--故障信号,正常工作Z 为0,发生故障Z 为1。 列出真值表

真值表 真值表

②写出函数式并化简 Z R A G RAG RA G RAG RAG =++++ 经卡诺图化简得: Z R A G RA RG AG =+++ ③画出电路图

(2)用3-8①标准与或式 03567RAG RAG m m m m m +=++++ ②化成与非-与非式 0356703567Z m m m m m m m m m m =++++=???? ③设R =A 2、A =A 1、G =A 0 则03567Z Y Y Y Y Y =????

④画连线图

(3)用4选1数据选择器实现

①标准与或式 Z R A G RAG RA G RAG RAG =++++ S =1时 4选1 010*********Y D A A D A A D A A D A A =+++ ②确定输入变量和地址码的对应关系

令A =A 1,G = A 0 ( )

()()1Z R A G R AG R A G A G

=+++? 则:0D R = 12D D R == 31D = ③画连线图

1

4.分别用74LS153(4选1数据选择器)和74LS152(8选1)实现函数F=AB+BC+AC 。 解:(1)用4选1数据选择器来设计

①标准与或式 F ABC ABC ABC ABC =+++ 数据选择器 010*********Y D A A D A A D A A D A A =+++ ②确定输入变量和地址码的对应关系

令 A 1 = A , A 0 = B 0123Y D AB D AB D AB D AB =+++

10F AB C AB C AB AB =?+?+?+?

则D 0 = 0 D 1 =D 2 = C D 3 = 1 ③ 画连线图

(2)用8选1数据选择器来实现 ①标准与或式

F ABC ABC ABC ABC =+++

0()0()0()1()0()1()1()1()

ABC ABC ABC ABC ABC ABC ABC ABC =?+?+?+?+?+?+?+?8选1数据选择器:

02101210221032104210521062107210

Y D A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A =+++++++②确定输入变量和地址码的对应关系

令A =A 2,B =A 1,C =A 0 D 3=D 5=D 6=D 7=1D 0=D 1=D 2=D 4=0 ③画图

第四章触发器

二、练习题举例

分析:

1、JK触发器的触发信号和输入信号如图所示。试画出Q1端的输出波形。(所有触发器的初态为0)

解:

2、用主从的D触发器和边沿触发的JK触发器组成的电路如图所示。已知触发信号和输入信号,试画出Q1、Q2的输出波形。(所有触发器的初态为0)

第五章时序逻辑电路

(一)分析:

1、分别用置数法和置0法将十进制计数器74LS160接成九进制计数器。

解:

置数法置0法

2、由4位同步二进制计数器74LS162组成的可变进制计数器如图所示。试分析当控制变量A为1和0时电路各为几进制计数器,并画出状态转换图。

A=1时,电路为十四进制计数器;A=0时,电路为十进制计数器

A=1时,

Q Q Q Q状态转换图

3210

Q Q Q Q状态转换图

A=0时,

3210

3、分析图示的时序电路,写出驱动方程、输出方程、状态方程,画出电路的状态图,检查电路能否自启动,说明电路的功能。

解:驱动方程:

11221

J K J K A Q ==1 ??==⊕?

输出方程:

1212

Y AQ Q A Q Q =+

状态方程:1

111

212

n n Q Q Q A Q Q ++?=

??=⊕⊕??

自行计算状态表;

电路的状态图:

A=0时作二进制加法计数,A=1时作二进制减法计数。电路能自启动。

4、用JK 触发器按8421码设计一个同步六进制加法计数器,以000为起始状态编码。(思考:按8421码设计一个同步六进制减法计数器,或设计一个同步循环码八进制计数器,其状态S 0、S 1、S 2、S 3、S 4、S

5、S

6、S 7的编码分别为000、001、011、010、110、111、101、100。)(可参考P277 例5.4.1) 解:自行画出原始状态图、状态图

得状态转换卡诺图

卡诺图分解,并化简得到电路的状态方程:

1210201

101210100n n n Q Q Q Q Q Q Q Q Q Q Q Q Q +++?=+ ??=+??=

?? 输出方程为:20C

Q Q =

3)将状态方程变换为JK 触发器特性方程的标准形式:

01n 0101021n 12

020102220102011

n 2Q 1Q 1Q Q Q Q Q Q Q Q Q Q Q Q Q Q )Q Q (Q Q Q Q Q Q Q +=+=+=++=+=+++

4)将上式与JK 触发器的特性方程对照,则各个触发器的驱动方程为:

1

K J Q K Q Q J Q K Q Q J 000102102012====== 电路图略

第六章 脉冲波形的产生和整形

1、在施密特电路,单稳态电路和多谐振荡器三种电路中,没有稳态的电路是 ,有一个稳态的电路是 ,有二个稳态的电路是 ,工作过程中不需要外触发信号的电路是 。

解:依次为:多谐振荡器,单稳态电路,施密特电路及多谐振荡器。

2、某多谐振荡器输出信号频率为1KHZ ,已知q=0.4,求输出信号低电平的宽度。

解:ms q T ms f

T L 6.0)1(1,11

=-?===

3、图示施密特电路中,已知R 1=10K ,R 2=20K ,G1和G2是CMOS 反相器,V DD =10V 。 求:(1)V T+、V T-及ΔV T (2)画出V 0波形

解:V V R R V TH T 5.7)1(2

1

=+

=+ V V R R V TH T 5.2)1(2

1

=-=- ΔV T =5V

4、下图是延迟报警器。当开关S 断开后,经一定的延迟时间后扬声器发声。试求延迟时间的具体数值和扬声器发出声音的频率。图中G1是CMOS 反相器,输出的高、低电平分别为12V 和0V 。

解:左边定时器接成了施密特电路,右边定时器接成了振荡器。 当开关断开后电容C 充电,充至V V V CC T 83

2

==+时反相器G1输出高电平,振荡器开始振荡。

故延迟时间为

S V V V RC T T CC CC

D 112

ln =-=+

扬声器发出声音频率为:

KHz C R R f 66.92

ln )2(1

121=+=

注:该题有如下几种演变情况,请思考如何分析。 1、左边定时器接成单稳态电路,右边不变。

2、左边定时器接成低频振荡器,右边定时器接成高频振荡器。

第七章 半导体存储器

1、已知某存储器标有1K ×4字样,回答下列问题:(1)该存储器有几条地址线? (2)该存储器能存储多少个字?(3)每个字长是几位?(4)该存储器有几条数据线? (5)该存储器的容量是多少位? 答:(1)10条地址线。(2)1024个字。(3)4位(4)4条(5)4096位

2、答:ROM 由地址译码器、存储矩阵及输出缓冲器三部分组成。 地址译码器的作用是将输入地址码译成相应的控制信号,该控制信号从存储矩阵中把对应单元的信息送到输出。

存储矩阵的作用是存储二进制信息。

输出缓冲器作用有二个。一是提高负载能力,二是实现对输出的三态控制。 3、答:是EPROM 4、答:EPROM 、E 2PROM 及Flash Memory 都可以用来设计组合电路。输出变量安排在ROM 的地址端,输出变量安排在数据端。

5、答:可分为静态RAM 和动态RAM 两种。

静态RAM 靠触发器存储数据。动态RAM 是利用MOS 管栅极电容存储电荷的原理制成的。

6、试用4片2114和译码器组成4K ×4的RAM ,其中2114是1K ×4的RAM 。

7、图示电路是用ROM 组成的逻辑电路,分析其功能。

解:S=m1+m2+m4+m7

该电路是全加器

第八章可编程逻辑器件

1.分析下图由PAL构成的组合逻辑电路,输入A1,A0; B1,B0;输出Y3,Y2,Y1,Y0; 试分析电路,画出真值表,总结电路功能。

01013B B A A Y =

0101010101012B B A A B B A A B B A A Y ++=

0101010101010101010101011B B A A B B A A B B A A B B A A B B A A B B A A Y +++++=01010101010101010B B A A B B A A B B A A B B A A Y +++=

自行计算真值表;功能:01230101Y Y Y Y B B A A =?

2.分析下图由PAL 构成的时序逻辑电路,写出电路的驱动方程、状态方程,画出电路的(Q 3Q 2Q 1)状态转换图。

131231

33Q Q Q Q Q Q D n +==+ 12123122Q Q M Q Q Q Q D n +==+

112111Q M Q Q Q D n +==+

自行计算状态表。状态图见下

功能: M=0→六进制计数器; M=1→三进制计数器 3.用图示PAL 设计下列逻辑函数。

23012313Q Q Q Q Q Q Q n +=+ 201301212

Q Q Q Q Q Q Q Q n ++=+ 010112311Q Q Q Q Q Q Q Q n ++=+ 010

Q Q n =+ 23Q Q C =

解答:整理为

230123313

Q Q Q Q Q Q Q n D +=+=

023*********Q Q Q Q Q Q Q Q Q Q n D ++=+=

010*******

Q Q Q Q Q Q Q Q n D ++=+=

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。) 1、逻辑电路可以分为 组合逻辑电路 电路和 时序逻辑电路 电路。 2、数字电路的基本单元电路是 门电路 和 触发器 。 3、数字电路的分析工具是 逻辑代数(布尔代数) 。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (10010 )8421BCD 6、数字电路中的最基本的逻辑运算有 与 、 或 、 非 。 7、逻辑真值表是表示数字电路 输入和输出 之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的 或门 。 9、表示逻辑函数的4种方法是 真值表 、 表达式、 卡诺图 、 逻辑电路图 。 其中形式惟一的是 真值表 。 10、对于变量的一组取值,全体最小项之和为 1 。 11、对于任意一个最小项,只有一组变量的取值使其值为 1 ,而在变量取其他各组值时 这个最小项的取值都是 0 。 12、对于变量的任一组取值,任意两个最小项之积为0。 13、与最小项ABC 相邻的最小项有C AB 、C B A 、BC A 。 14、组合逻辑电路的特点是 输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件) 。 15、按电路的功能分,触发器可以分为 RS 、 JK 、 D 、 T 、 T ’。 16、时序电路可分为 同步时序逻辑电路 和 异步时序逻辑电路 两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含 驱动方程 、 输出方程 、 状态方程 )、 状态图 、 状态表 、 时序图 。 18、(251)10 =()2 =(FB )16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为 RAM 和 ROM 。 21、RAM 可分为 动态RAM 和 静态RAM 。 22、存储器以 字 为单位组织内部结构,1个字含有 若干 个存储单元。1个字中所含的位数(即存储单元的个数)称为字长。字数与字长的乘积表示存储器的 容量 。字数决定 存储器的地址线的颗数 ,字长决定 存储器的数据线的颗数 。

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电路基础考试题9答案

A 卷 一.选择题(18) 1.以下式子中不正确的是( C ) a .1A =A b .A +A=A c . B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .O C 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 6. A 7. B 8. A 9. B b .时序电路必然存在状态循环

c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( ) a .“110” b .“100” c .“010” d .“000” 8、下列描述不正确的是( ) a .时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b .寄存器只能存储小量数据,存储器可存储大量数据。 c .主从JK 触发器主触发器具有一次翻转性 d .上面描述至少有一个不正确 9.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 二.判断题(10分) 1.TTL 门电路在高电平输入时,其输入电流很小,74LS 系列每个输入端的输入电流在40uA 以下( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3.超前进位加法器比串行进位加法器速度慢( ) 4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( ) 6. 施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。( ) 7. 当时序逻辑电路存在无效循环时该电路不能自启动() 8. RS 触发器、JK 触发器均具有状态翻转功能( ) 9. D/A 的含义是模数转换( ) 10.构成一个7进制计数器需要3个触发器( ) 三.计算题(5分) 如图所示电路在V i =和V i =5V 时输出电压 V 0分别为多少,三极管分别工作于什么区(放 大区、截止区、饱和区)。 V i 10k 3k GND +5V V 0

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电子技术基础习题及答案

数字电子技术基础考题 」、填空题:(每空3分,共15分) 辑表达式 )和( 卡诺图 路,称为全加器。 等° 17. 根据不同需要,在集成计数器芯片的基础上,通过采用 进位输出置最小数法 等方法可以实现任意进制的技术器。 18. 4. 一个JK 触发器有_2_个稳态,它可存储_J — 位二进制数。 19. 若将一个正弦波电压信号转换成 同一频率的矩形波,应采用 多谐振荡器 _______ 电路。 20. __________________________________________ 把JK 触发器改成T 触发器的方法是J=k=t __________________________________________________ 。 21. N 个触发器组成的计数器最多可以组成 _^n 进制的计数 器。 1逻辑函数有四种表示方法,它们分别是( 真值表 )、( 逻辑图 2. 将2004个“ 1 ”异或起来得到的结果是( 3. 由555定时器构成的三种电路中, )和( 是脉冲的整形电路。 4. TTL 器件输入脚悬空相当于输入( 电平。 5. 基本逻辑运算有:(and not )和(or )运算。 6. 采用四位比较器对两个四位数比较时, 先比较 最咼 位。 7. 触发器按动作特点可分为基本型、 (同步型 主从型 )和边沿型; 如果要把一宽脉冲变换为窄脉冲应采用 积分型单稳态 触发器 9. 目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是 TTL )电路和 CMOS )电路。 10. 施密特触发器有( 2 )个稳定状态?,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为 功能扩展电路、功能综合电路 两种; 12?两二进制数相加时,不考虑低位的进位信号是 加器。 13?不仅考虑两个 本位 .相加,而且还考虑来自 低位进位 _______ 相加的运算电 14.时序逻辑电路的输出不仅和 该时刻输入变量的取值 有关,而且还与_电路原来 的状态 有关。 15?计数器按CP 脉冲的输入方式可分为 同步计数器和 异步计数器。 16?触发器根据逻辑功能的不同,可分为 rs jk 反馈归零法 置数法

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

《数字电子技术基础》复习指导.docx

v1.0可编辑可修改 第一章数制与码制 第二章逻辑代数基础 一、本章知识点 1.数制及不同数制间的转换 熟练掌握各种不同数制之间的互相转换。 2.码制定义、码的表示方法 BCD码的定义,常用 BCD码特点及表示十进制数的方法。 3.原码、反码、补码的表示方法 正数及负数的原码、反码、补码。 4.逻辑代数的基本公式和常用公式 掌握逻辑代数的基本公式和常用公式。 5.逻辑代数的三个基本定理 定义,应用 6.逻辑函数的表示方法及相互转换 7.逻辑函数最小项之和的标准形式 8.逻辑函数的化简 公式法化简逻辑函数 卡诺图法化简逻辑函数的基本原理及化简方法 二、例题 (一)概念题 1.数字信号是指在和数量上都是离散的信号。 2.BCD码是指用二进制数码表示一位十进制数。 3.一个三位十进制数的余 3 BCD码是 1001 0011 1010 ,则与它相应的8421BCD 码是。 4.逻辑函数Y AB AB 表达的逻辑符号为。

v1.0可编辑可修改 5.如果两个表达式相等,那么它们的对偶式也。 6.常用的逻辑函数的表示方法有及函数式、逻辑图、卡诺图等。7.最简与或表达式的条件,不仅要求其中的乘积项最少,而且要 求。 8.利用卡诺图化简逻辑函数的基本原理就是。9.逻辑代数中逻辑变量的取值只有0 和 1 两种可能,它们不再表示数量的大小,只代表二种不同的。 (二)数制转换 1.= () 2=()=() 16 108 2.=()=() 10 162 3.2=()8=()10 (三)写出下列数的八位二进制数的原码、反码、补码 原码,就是用最高位表示数符(0 表示正数、 1 表示负数 ) 。正数,原码 =反码=补码;负数,反码:除符号位以外,对原码逐位取反;补码:反码+1 1. (-35 ) = () 原码= () 反码 =() 补码 10 2.(+35) 10 = ()原码 = ()反码 =()补码 3.(-110101)2= ()原码 = ()反码 =()补码 4.(+110101)2= ()原码 = ()反码 = ()补码 5. (-17)8=()原码= ()反码=()补码(四)将下列三位BCD码转换为十进制数 根据 BCD码的编码规则,四位一组展成对应的十进制数。 1.() 余3码 =() 10 2.() 8421码=()10 (五)分别求下列函数的对偶式Y‘和反函数Y 1.Y ( A B) C D

数字电路第一章数字电路习题集和答案

第一章绪论练习题 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码3.一位十六进制数可以用位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256) 10 B.(127) 10 C.(FF) 16 D.(255) 10 6.与十进制数(53.5) 10 等值的数或代码为。 A.(0101 0011.0101) 8421BCD B.(35.8) 16 C.(110101.1) 2 D.(65.4) 8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期8.与八进制数(47.3) 8 等值的数为: A.(100111.011) 2B.(27.6) 16 C.(27.3) 16 D.(100111.11) 2 9. 常用的BC D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强11.把10010110 B二进制数转换成十进制数为() A. 150 B. 96 C.82 D. 159 12.将4FBH转换为十进制数( ) A. 011101110101B B. 011100111011B C. 010********* D. 100010000101 13.将数1101.11B转换为十六进制数为() A.D.CH B. 15.3H C. 12.EH D. 21.3H 14.将十进制数130转换为对应的八进制数: A.202 B. 82 C. 120 D. 230

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术基础试题与答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F

2.证明逻辑函数式相等:()() ++++=+ BC D D B C AD B B D 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式:

(2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1K Ω,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f 和占空比q。 图1

5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………………密……………………封…………………………装…………………订………………………线………………………

数字电路复习指导(有答案)

第一章逻辑代数基础 一、本章知识点 1.数制及不同数制间的转换 熟练掌握各种不同数制之间的互相转换。 2.码制定义、码的表示方法 BCD码的定义,常用BCD码特点及表示十进制数的方法。 3.原码、反码、补码的表示方法 正数及负数的原码、反码、补码。 4.逻辑代数的基本公式和常用公式 掌握逻辑代数的基本公式和常用公式。 5.逻辑代数的三个基本定理 定义,应用 6.逻辑函数的表示方法及相互转换 7.逻辑函数最小项之和的标准形式 8.逻辑函数的化简 公式法化简逻辑函数 卡诺图法化简逻辑函数的基本原理及化简方法 二、例题 数制转换 1. 10= ( )2 =( )8=( )16 2. 16=( )2=( )10 3. 2=( )8=( )10 写出下列数的八位二进制数的原码、反码、补码 原码,就是用最高位表示数符(0表示正数、1表示负数)。正数,原码=反码=补码;负数,反码:除符号位以外,对原码逐位取反;补码:反码+1

1.(-35)10= ( )原码= ()反码=()补码 2. (+35)10 = (00100011 )原码= (00100011)反码=(00100011)补码 3. (-110101)2 = ( )原码= ()反码=()补码 4. (+110101)2 = (00110101 )原码= (00110101)反码=(00110101)补码 5. (-17)8=( )原码= ()反码=()补码 . 将下列三位BCD 码转换为十进制数 根据BCD 码的编码规则,四位一组展成对应的十进制数。 1. ()余3码 = (263)10 2. ()8421码= (596)10 分别求下列函数的对偶式Y ‘和反函数Y 1. D C B A Y ++=)( D C B A Y ?+?=)(' D C B A Y ?+?=)( 2. D A C B A Y ++= )()('D A C B A Y +??+= D C B A Y ?+?=)( 求下列函数的与非-与非式。 1. B A AB Y += B A AB Y ?= 将下列函数展成最小项之和的标准形式 1. Y=C B B A ?+? C B A C B A C B A C B A C B A C B A C B A A A C B C C B A Y ??+??+??=??+??+??+??=+??++??=)()( 2. Q R S Y +=

数字电路试题及答案

数字电路试题及答案 二、单项选择题(本大题共10小题,每小题2分,共20分) 1、十六进制数(8F)16对应的十进制数是( C ) A、141 B、142 C、143 D、144 2、逻辑函数L(A,B,C)=(A+B)(B+C)(A+C)的最简与或表达式为( D) A、(A+C)B+AC B、 AB+(B+A)C C、 A(B+C)+BC D、 AB+BC+AC 3、与非门输出为低电平时,需满足( D ) A、只要有一个输入端为低电平 B、只要有一个输入端为高电平 C、所有输入端都是低电平 D、所有输入端都是高电平 4、能够实现“线与”功能的门电路是( D ) A、与非门B、或非门 C、三态输出门D、集电极开路门 5、由与非门构成的基本RS触发器,要使Qn+1=Qn,则输入信号应为(A) A、R=S=1B、R=S=0 C、R=1,S=0D、R=0,S=1 6、要使T触发器Qn+1=Qn ,则(B) A、T=QnB、T=0C、T=1D、T=n 7、对于JK触发器,要使Q n+1=Q n,则(B) A、J=K=1 B、J=K=0 C、J=1,K=0 D、J=0,K=1 8、为实现D触发器转换成T触发器,题图所示的虚线框内应是。( C ) A、与非门 B、异或门 C、同或门 D、或非门 9、十六个数据输入端的数据选择器必有地址输入端的个数为( D) A、1 B、2 C、3 D、4 10、一个4位二进制计数器的最大模数是( C ) A、4 B、8 C、16 D、32 三、简答题(本大题共2小题,每小题5分,共10分) 1、数字电路从整体上看可分为几大类? 答:(1)、按集成度分,有小、中、大、超大、甚大规模;(3分) (2)、按结构工艺分,有TTL、CMOS集成电路。(2分) 2、最简与-或表达式的标准是什么? 答:(1)、包含的与项最少;(3分) (2)、每个与项中变量的个数最少。(2分) 四、分析计算题(本大题共6小题,每小题10分,共60分) 1、逻辑电路的输入变量A、B和输出函数F的波形如题3-1图所示,试列出真值表,写出逻辑函数F的逻辑表达式,并画逻辑图。

数字电子技术基础试题和答案

一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是()、()、()和()。2.将2004个“1”异或起来得到的结果是()。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入()电平。 5.基本逻辑运算有: ()、()和()运算。 6.采用四位比较器对两个四位数比较时,先比较()位。 7.触发器按动作特点可分为基本型、()、()和边沿型; 8.如果要把一宽脉冲变换为窄脉冲应采用()触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是()电路和()电路。 10.施密特触发器有()个稳定状态.,多谐振荡器有()个稳定状态。 11.数字系统按组成方式可分为、两种; 12.两二进制数相加时,不考虑低位的进位信号是()加器。 13.不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 15.计数器按CP脉冲的输入方式可分为___________和___________。 16.触发器根据逻辑功能的不同,可分为___________、___________、___________、___________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用___________、___________、___________等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有个稳态,它可存储位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用电路。 20.把JK触发器改成T触发器的方法是。 二.数制转换(5分): 1、(11.001)2=()16=()10 2、(8F.FF)16=()2=()10 3、(25.7)10=()2=()16 4、(+1011B)原码=()反码=( )补码 5、(-101010B)原码=()反码=( )补码

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+

3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出:

①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4

数字电路复习指导2015

第一章 逻辑代数基础 一、本章知识点 1.码制定义、码的表示方法。 BCD 码的定义、常用BCD 码特点及表示十进制数的方法 2.逻辑代数的基本公式和常用公式。 掌握逻辑代数的基本公式和常用公式 3.逻辑代数的三个基本定理。 定义、应用 4.逻辑函数的表示方法及相互转换。 5.逻辑函数最小项之和的标准形式。 6.逻辑函数的化简。 公式法化简逻辑函数 卡诺图法化简逻辑函数的基本原理及化简方法 二、例题 1.BCD 码和十进制数相互转换 根据BCD 码的编码规则,四位一组对应十进制数。 (1)(10110010110)余3码 = (263)10 (2)596)10 = (10110010110)8421码 2.分别求下列函数的对偶式Y ‘和反函数Y (1)D C B A Y ++=)( D C B A Y ?+?=)(' D C B A Y ?+?=)( (2)D A C B A Y ++= )()('D A C B A Y +??+= D C B A Y ?+?=)( 3.写出函数B A AB Y +=的及非-及非式。 B A AB Y ?= 4.将下列函数展成最小项之和的标准形式 (1)Y=C B B A ?+? C B A C B A C B A C B A C B A C B A C B A A A C B C C B A Y ??+??+??=??+??+??+??=+??++??=)()(

(2) Q R S Y += SRQ Q SR Q R S Q R S Q R S S S Q R Q Q R R S Q R S Y ++++=++++=+=)())(( 5.假设开关闭合用1表示,开关断开用0表示,电灯亮用1表示,不亮用0表示,试写出图示开关电路的真值表和逻辑表达式。 解答:略 6.用公式法化简下列函数 (1) C AB C B BC A AC C B A Y +++=),,( C C AB C C AB B B A A C C AB C B BC A AC C B A Y =+=+++=+++=)(),,( (2)D D C C B C A AB Y ++++= 1 1)()()(=++=++++=++++=++++=D C D C B A AB D C C B C A AB D D C C B C A AB Y 7.用卡诺图化简下列逻辑函数 (1)∑= )15,14,13,12,11,10,6,5,4,2(),,,(m D C B A Y D C AC C B Y ++=

相关文档
最新文档