福建师范大学马克思主义哲学期末考试试卷(A卷)

福建师范大学马克思主义哲学期末考试试卷(A卷)
福建师范大学马克思主义哲学期末考试试卷(A卷)

福建师范大学期末考试试卷(A卷)

考试科目:马克思主义哲学原理(重修) 考试时间:2004年8月26日院、系:年级:专业:

软件工程与UML期末试题及答案

软件工程与U M L建模复习题B 一:单选题 1.是在系统之外,透过系统边界与系统进行有意义交互的任何事物 A).相关系统B).Use Case C).Class D).Actor 2.软件工程是以为核心 A).过程B).面向对象C).软件开发D).质量 3.“系统应具有很高的可靠性,使用该产品的前3个月,系统不应该出现崩溃(数据不可恢复)的现象”,这属于 A).功能性需求B).客观需求C).主观需求D).非功能性需求 4.“系统每天晚上自动生成进货报表”,Actor是: A).系统B).其它系统C).时间D).报表审阅者 5.数据流程图是一个分层的概念模型,分三个层次:,分别描述系统的不同特征 A).总体图、二级图、三级图B).总体图、二级图、细节图 C).总体图、零级图、细节图D).总体图、次级图、细节图 6.正式运行系统后能够产生的收益被称为 A).直接效益B).运营效益C).最佳效益D).启动效益 7.“以相对短的时间和相对低的成本来确定给定的问题在其约束条件内是否有解、有几种解以及哪个是最佳解”,这指的是软件开发过程中的 A).问题定义B).可行性研究C).需求分析D).设计 8.在处理过程定义中,有时存在多重嵌套的情况,对于复杂的条件组合问题,用自然语言往往不能直观、清楚地表述处理的过程,因此,常常使用方法。 A).数据字典B).判定表和判定树C).用例图D).螺旋模型 9.设C(X)定义问题X的复杂性函数,E(X)定义解决问题X所需要工作量的函数,对于两个问

题p1和p2,一般情况下如果C(p1)E(p2) B).C(p1+p2)=C(p1)+C(p2) C).E(p1+p2)>E(p1)+E(p2) D).E(p1+p2)

(完整版)风险管理期末考试试卷A卷及参考答案

风险管理期末考试试题(A 卷) 一、单项选择题(本大题共20小题,每小题1分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选 或未选均无分。 1. 大多数纯粹风险属于( ) A. 经济风险 B.静态风险 C.特定风险 D .财产风险 2. 以下属于投机风险的是( ) A.交通事故 B.买卖股票 C.地震 D.火灾 3 .保险属于( ) A.避免风险 B.自留风险 C.中和风险 D.转移风险 4. 安装避雷针属于 ( ) A.损失抑制 B.损失预防 C.风险避免 D.风险转移 5. 医生在手术前要求病人家属签字的行为属于 ( ) 6. 多米诺骨牌理论的创立者是 ( ) A.哈顿 B.海因里希 C.加拉格尔 D.马歇尔 7. 在风险事故发生前达成的借贷协议属于 ( ) A.内部借款 B.特别贷款 C.应急贷款 D.抵押借款 8. 营业中断损失属于( ) A.直接损失 B.间接损失 C. 责任损失 D.额外费用损失 9. 当保险方与被保险方对合同的理解不一致时,对合同的解释应有利于 ( ) A.保险方 B. 第三方 C. 被保险方 D.具体情况具体确定 10. 关于团体保险以下说法正确的是( ) A.保险金额无上限 B.增加了逆选择 C.对团体的性质有要求 D.不能免体检 11. 实施风险管理的首要步骤是( ) A.风险识别 B.风险评价 C.风险处理 D.风险管理决策 12. 选择保险人时,以下因素中最重要的是( ) A.费率高低 B.规模大小 C.偿付能力 D.折扣多少 13. 以下属于特定风险的是( ) A.战争 B.通货膨胀 C.自然灾害 D.偷窃 14. 在一定的概 率水平下,单一风险单位因单一事故所致的最大损失称为( ) B. 最大预期损失 C.损失期望值 D.年度最大可能损失 A.风险避免 B. 风险隔离 C. 风险转移 D. 风险自留 A.最大可能损失

线性代数期末考试试卷答案

线性代数期末考试题样卷 一、填空题(将正确答案填在题中横线上。每小题2分,共10分) 1. 若02 2 1 50 1 31 =---x ,则=χ__________。 2.若齐次线性方程组??? ??=++=++=++0 00321 321321x x x x x x x x x λλ只有零解,则λ应满足 。 3.已知矩阵n s ij c C B A ?=)(,,,满足CB AC =,则A 与B 分别是 阶矩阵。 4.矩阵??? ? ? ??=32312221 1211 a a a a a a A 的行向量组线性 。 5.n 阶方阵A 满足032 =--E A A ,则=-1A 。 二、判断正误(正确的在括号内填“√”,错误的在括号内填“×”。每小题2分,共10分) 1. 若行列式D 中每个元素都大于零,则0?D 。( ) 2. 零向量一定可以表示成任意一组向量的线性组合。( ) 3. 向量组m a a a ,, ,Λ21中,如果1a 与m a 对应的分量成比例,则向量组s a a a ,,,Λ21线性相关。( ) 4. ? ? ??? ???? ???=010********* 0010 A ,则A A =-1。( ) 5. 若λ为可逆矩阵A 的特征值,则1 -A 的特征值为λ。 ( ) 三、单项选择题 (每小题仅有一个正确答案,将正确答案题号填入括号内。每小题2分,共10分) 1. 设A 为n 阶矩阵,且2=A ,则=T A A ( )。 ① n 2 ② 1 2 -n ③ 1 2 +n ④ 4 2. n 维向量组 s ααα,,,Λ21(3 ≤ s ≤ n )线性无关的充要条件是( )。 ① s ααα,, ,Λ21中任意两个向量都线性无关 ② s ααα,, ,Λ21中存在一个向量不能用其余向量线性表示 ③ s ααα,, ,Λ21中任一个向量都不能用其余向量线性表示

EDA期末考试考卷及答案

(A卷) 赣南师范学院 2010—2011学年第一学期期末考试试卷(A卷)(闭卷)年级 2008 专业电子科学与技术(本)课程名称 EDA技术基础 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; 3、答题请用蓝、黑钢笔或圆珠笔。 一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能 3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MATLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR语句11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程 C.PAL可编程D.与或阵列可编程 13.以下器件中属于Altera 公司生产的是 B A.ispLSI系列器件B.MAX系列器件 C.XC9500系列器件D.Virtex系列器件 14.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D A.if clk'event and clk = '1' then B.if clk'stable and not clk = '1' then C.if rising_edge(clk) then D.if not clk'stable and clk = '1' then 15.以下关于状态机的描述中正确的是 B A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数

UML期末考试试题与答案解析

UML期末考试试题与答案解析 一、选择题: 1.UML中关联的多重度就是指(B) A.一个类有多个方法被另一个类调用 B.一个类的实类能够与另一个类的多个实类相关联 C.一个类的某个方法被另一个类调用的次数 D.两个类所具有的相同的方法与属性 2.已知三个类A、B与C、其中类A由类B的一个实类与类C的1个或多个实类构成、 请画出能够正确表示类A,B与C之间关系的UML类图、 3在某个信息系统中,存在如下的业务陈述:①一个客户提交0个或多个订单;②一个订单由一个且仅由一个客户提交。系统中存在两个类:“客户”类与“订单”类。对应每个“订单”类的实例,存在___(46)_B__“客户”类的实例;对应每个“客户”类的实例,存在___(47)D___个“订单”类的实例。 供选择的答案: (1)A、0个 B、1个C、1个或多个 D、0个或多个 (2)A、0个 B、1个 C、1个或多个 D、0个或多个 4、 UML中的交互图有两种,分别就是顺序图与协作图,请分析一下两者之间的主要差别与各自的优缺点。掌握利用两种图进行的设计的方法。 答:顺序图可视化地表示了对象之间随时间发生的交互,它除了展示对象之间的关联,还显示出对象之间的消息传递。与顺序图一样,协作图也展示对象之间的交互关系。顺序图强调的就是交互的时间顺序,而协作图强调的就是交互的语境与参与交互的对象的整体组织。顺序图按照时间顺序布图,而协作图按照空间组织布图。 顺序图可以清晰地表示消息之间的顺序与时间关系,但需要较多的水平方向的空间。 协作图在增加对象时比较容易,而且分支也比较少,但如果消息比较多时难以表示消息之间的顺序。 14、什么就是对象间的可见性? 答:可见性(Visibility)指的就是一个对象能够“瞧到”或者引用另一个对象的能力。 5、UML提供了一系列的图支持面向对象的分析与设计,其中____(1)___给出系统的静态设计视图;___(2)____对系统的行为进行组织与建模就是非常重要的;____(3)___与____(4)___都就是描述系统动态视图的交互图,其中___(3)___描述了以时间顺序组织的对象之间的交互活动,___(4)____强调收发消息的对象的组织结构。 A、状态图 B、用例图 C、序列图 D、部署图 E、协作图 F、类图 答案:(1)F (2)B (3)C (4)E 6、在UML提供的图中,___(1)___用于描述系统与外部系统及用户之间的交互;__(2)___用于按时间顺序描述对象间的交互。

《网络营销》期末考试试卷A卷答案

2010-2011学年度第二学期 09 级《网络营销》期末考试试卷( A 卷 ) 一、单选题(每空 2 分,共 40 分) 1.企业可借助互联网将不同的营销活动进行统一规划和协调,以统 一的资信向消费者传达信息,这体现 了网络营销的(C )特点。 A 、互动性 B 、整合性 C 、跨时空性 D 、成长性 2.网络营销与传统营销相 比,以下说法错误的是( A ) C 、决策速度不同 D 、促销力度不同 B 、大多数网民不希望在网上购物 D 、上网购物的人大多数是高收入者 4.迅速、灵敏地收集市场发展各方面的最新动态是网络商务信息的( A ) A 、及时性 B 、准确性 C 、适度性 D 、经济 5.下列调查问卷中的问题最恰当的是( D ) A 、这种酱油很润口吧? B 、最近两个月你从这家电器商店购买了什么家电产品? C 、请冋你每天看杂志的平均时间为( )小时( )分? D 、你的教育程度:(1)不识字(2)小学(3)中学(4)大学(5)大学以上 6.网络商务信息可以方便地下载到本地计算机上管理,在原有各个网站上也有信息存储系统,可以到原有 信息源中再次查找,说明的是网络商务信息的( C ) A 、加工筛选难度高 B 、准确性高 C 、便于存储 D 、时效性强 7.E-mail 之所以能够成为一种流行的营销工具,主要是因为 E-mail (C ) A 、宣传面广 B 、具有简单性 C 、廉价 D 、具有独立性 8.下列哪个是 E-mail 营销的缺点( B ) A 、满足用户个性化需求 B 、垃圾邮件问题 C 、保密性相对好 D 、促进顾客关系 9. 下列(B )不属于企业创建网站的途径。 A 、自建 B 、购买商品网站 C 、委托开发商建站 D 、使用开发网站 10. 网站在(D )之后进入正常运行期。 A 、网站实现 B 、网页调试 C 、网页维护 D 、网页发布 A 、目标不同 B 、销售方式不同 3.以下叙述中正确的是( A ) A 、大多数网民希望在网上购物 C 、大多数网民现在在网上购物

EDA期末试卷及答案(2020年九月整理).doc

EDA期末试卷 一、填空题 1.一般把EDA技术的发展分为MOS时代、CMOS 代和ASIC 三个阶段。 2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。 3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。 4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。 6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。 7.以EDA方式设计实现的电路设计文件,最终可以编程下载 到FPGA 和CPLD 芯片中,完成硬件设计和验证。 8.MAX+PLUS的文本文件类型是(后缀名).VHD 。9.在PC上利用VHDL进行项目设计,不允许在根目 录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。 二、选择题:。 11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器 B.综合 器 C.适配器 D.下载器12.在执行MAX+PLUSⅡ的(D )命令,可以精确分析设计电路输入与输出波形间的延时量。 A .Create default symbol B. Simulator C. Compiler D. Timing Analyzer 13.VHDL常用的库是(A ) A. IEEE B.STD C. WORK D. PACKAGE 14.下面既是并行语句又是串行语句的是( C ) A.变量赋值 B.信号赋值 C.PROCESS语 句 D.WHEN…ELSE语句 15.在VHDL中,用语句(D )表示clock的下降沿。

uml期末考试题A卷及答案

考试时间 年 月 日(90分钟) 课程 UML 请将答案填写在答题纸上!不要在考卷上作答! 一、单选题 1.执行者(Actor )与用例之间的关系是( ) (A )包含关系 (B )泛化关系 (C )关联关系 (D )扩展关系 2 .在类图中,下面哪个符号表示继承关系( ) 3.在类图中,“ #”表示的可见性是( ) (A )Public (B )Protected (C )Private (D )Package 4.下面那个类图的表示是正确的( ) 5.下面哪个符号代表部署图的节点( ) 6.生命线是UML 视图中哪个图形的组成部分( ) (A )类图 (B )状态图 (C )活动图 (D )顺序图 7.在类图中,那种关系表达总体与局部的关系( ) (A )泛化 (B )实现 (C )依赖 (D )聚合 8.下面哪个图形代表活动( ) (B) (A) (C) (D) (B) (A) (C) (D) Student Student name : String age : Student getName () getAge () Student Name : String Age: intInteger getName () getAge () (B) (A) (C) (D) (B) (A) (C) (D)

9.下面哪个UML 视图是描述一个对象的生命周期的( ) (A )类图 (B )状态图 (C )协作图 (D )顺序图 10.下面哪个视图属于UML 语言的交互图( ) (A )行为图 (B )状态图 (C )实现图 (D )顺序图 11.下面哪个符号代表包图( ) 12.在UML 协作图中,有多少种关联角色的构造型( ) (A )1 (B )3 (C )5 (D )7 13.在类图中,哪种关系表达总体与局部的关系( ) (A )泛化 (B )实现 (C )依赖 (D )聚合 14.在类图中,“ #”表示的可见性是( ) (A )Public (B )Protected (C )Private (D )Package 15.下面哪个符号表示注释( ) 二、多选题 1.下面哪些图形可以清楚地表达并发行为( ) (A )类图 (B )状态图 (C )活动图 (D )顺序图 2.下面哪些元素构成了组件图形( ) (A )组件 (B )转换 (C )关系 (D )接口 3.部署图中的节点具有以下哪些方面的内容( ) (A )计算能力 (B )基本内存 (C )位置 (D )接口 4.顺序图的用途包括( ) (A )显示并发进程和激活 (B )当不同的类之间存在多个简短的方法时,描述控制流的整体序列 (C )显示在协作图中难于描述的事件序列 (D )显示涉及类交互而与对象无关的一般形式 5.常见的UML 工具有哪些?( ) (A )Rational Rose (B )Power Designer (C )Visio (D )Visual UML (B) (A) (C) (D) (B) (A) (C) (D)

教育学期末考试试卷A卷

教育学期末考试试卷(A)卷 一.填空题(每空1分共20分) 1.1776年,康德在哥尼斯堡大学讲授教育学,这是教育学列入大学课程的开端。 2.德国的梅伊曼拉伊是近代教育学实验派的代表。 3 环境在人的发展中起潜移默化耳濡目染作用。 4 中国第一个近代学制是壬寅学制。 5 巩固性原则的基本要求有在理解的基础上掌握知识、在复习的基础上掌握知识。 6 教育目的主要包括身心素质和社会价值两部分。 7 根据教学评价在教学过程中的作用不同,可以分为诊断性评价、形成性评 价、总结性评价。 8 学生品德的发展是在活动中实现的。 9 教师劳动的特点包括强烈的示范性、独特的创造性、空间的延续性和时间 的连续性。 10 陶冶包括人格感化、环境陶冶、艺术陶冶。 二.(判断只写出对或者错不必说明理由每题1。5分共15分) 1.世界最早的教育专着是《学记》。(√) 2 信息论研究问题的基本方法,是把整体的运动过程当作信息的输入传递和转换消解过程来研究。(×) 3 社会主义教育最先提出教育普及的口号,是社会发展的必然。(×) 4生产力的发展影响教育的速度和规模。(√) 5 “六三三”制又称壬戌学制,是新中国成立后颁布的。(×) 6 教学大纲是根据教学计划,以纲要的形式编写的有关学科教学内容的指导性文件, 它的基本部分是说明部分。(×) 7赞可夫在小学做了“教学与发展”的实验证明:学生的发展远没达到极限,主张高速度高难度教学,教学走在发展的前面。同时论述了教学过程的结构。(×)8老师教学质量除与业务水平有关外,还与教学态度学生的学习态度有关。 (√) 9班主任制定班级目标是要高标准难度大,激励作用才明显。(×) 10 热爱学生不是教师职业道德的核心。(×) 三.简答(共37分) 1.教育对生产力的推动作用有哪些?(6分)P61~63 (1)教育是劳动力再生产的必要手段(2)教育是科学知识技术再生产的手段(3)教育是生产新的科学知识技术的手段 2.怎样理解人的发展过程中的阶段性规律?(10分) 从总体上看,在个体发展的不同阶段会表现出不同的年龄特征及主要矛盾,面临这不同的发展任务,当然,不同的发展阶段之间是相互联系的,上一阶段影响着下一阶段的发展,所以人生的每一个阶段对于人的发展来说,不仅具有本阶段的意义,而且具有人生全程的意义 3.怎样认识教师主导性?(9分)p215三个层次 4.怎样评价班级上课制?(6分) 有利于发挥教师的主导作用,但不利于发挥学生的主体地位;有利于提高教师的效率,但不利于理论联系实际;有利于集体教育,但不利于因材施教。 5.怎样开展德育工作?(6分) 德育内容上要更新;德育方法要改进;从小事做起,由近到远,由小到大,注重实际效

C期末考试试卷及答案

C++期末考试试卷及答案1 一、单项选择题(每题2分,共40分) 1. ______不是属于面向对象程序设计的特性 A. 抽象性 B. 数据相关性 C. 多态性 D. 继承性 2. 将对某一类数据的处理算法应用到另一类数据的处理中,要用到C++的______ A. 类 B. 虚函数 C. 运算符重载 D. 模板 3. C++与C语言最根本的不同之处在于_______ A. 使用了类 B. 能够实现变量自动初始化 C. 支持软件重用 D. 支持接口重用 4. 动态内存分配的主要目的是_______ A. 使程序按动态联编方式运行 B. 正确合理的使用内存 C. 提高程序的运行速度 D. 提高程序的可维护性 5. 在C++函数的形参前加const关键字,是为了提高函数的_______ A. 数据封装性 B. 可理解性 C. 可维护性 D. 可重用性 6. 函数重载的目的是________ A. 实现共享 B. 使用方便,提高可读性 C. 提高速度 D. 减少空间 7. 从程序片断:char name[] = "C++"; course(name);可判断函数course的调用采用的是_______ A. 传值调用 B. 带缺省参数值的函数调用 C. 引用调用 D. 传址调用 8. 用来说明类中公有成员的关键字是________

9. 如果一个类的成员函数print()不修改类的数据成员值,则应将其声明为 A. void print() const; B. const void print(); C. void const print(); D. void print(const); 10. 下列关于构造函数的论述中,不正确的是_______ A. 构造函数的函数名与类名相同 B. 构造函数可以设置默认参数 C. 构造函数的返回类型缺省为int型 D. 构造函数可以重载 11. 在程序代码:A::A(int a, int *b) { this->x = a; this->y = b; }中,this的类型是______ A. int B. int * C. A D. A * 12. 内存泄漏是指_______ A. 内存中的数据出现丢失 B.试图释放一个已经释放了的动态分配的堆内存 C. 函数中局部变量所占的栈内存没有及时回收 D. 动态分配的堆内存在程序退出后始终被占用 A. 私有成员数据 B. 私有成员函数 C. 公有成员数据 D. 公有成员函数 14. 友元函数_______ A. 可以被声明为const B. 没有this指针 C. 可以用类名或对象名来调用 D. 只能用对象名来调用 15. 若一个类的成员函数前用static关键字修饰,则该成员函数________ A. 可以被声明为const B. 没有this指针 C. 可以访问该类的所有成员 D. 只能用对象名来调用 16. C++是用_______实现接口重用的

EDA技术期末试卷(含答案)

班级 学号 姓名 密 封 线 内 不 得 答 题 一、单项选择题(30分) 1.以下描述错误的是 C A .QuartusII 是Altera 提供的FPGA/CPLD 集成开发环境 B .Altera 是世界上最大的可编程逻辑器件供应商之一 C .MAX+plusII 是Altera 前一代FPGA/CPL D 集成开发环境QuartusII 的更新换代新产品 D .QuartusII 完全支持VHDL 、Verilog 的设计流程 2.以下工具中属于FPGA/CPLD 开发工具中的专用综合器的是 B A .ModelSim B .Leonardo Spectrum C .Active HDL D .QuartusII 3.以下器件中属于Xilinx 公司生产的是 C A .ispLSI 系列器件 B .MAX 系列器件 C .XC9500系列器件 D .FLEX 系列器件 4.以下关于信号和变量的描述中错误的是 B A .信号是描述硬件系统的基本数据对象,它的性质类似于连接线 B .信号的定义范围是结构体、进程 C .除了没有方向说明以外,信号与实体的端口概念是一致的 D .在进程中不能将变量列入敏感信号列表中 5.以下关于状态机的描述中正确的是 B A .Moore 型状态机其输出是当前状态和所有输入的函数 B .与Moore 型状态机相比,Mealy 型的输出变化要领先一个时钟周期 C .Mealy 型状态机其输出是当前状态的函数 D .以上都不对 6.下列标识符中, B 是不合法的标识符。 A .PP0 B .END C .Not_Ack D .sig 7.大规模可编程器件主要有FPGA 、CPLD 两类,下列对CPLD 结构与工作原理的描述中,正确的是 C 。 A .CPLD 即是现场可编程逻辑器件的英文简称 B .CPLD 是基于查找表结构的可编程逻辑器件 C .早期的CPL D 是从GAL 的结构扩展而来 D .在Altera 公司生产的器件中,FLEX10K 系列属CPLD 结构 8.综合是EDA 设计流程的关键步骤,在下面对综合的描述中, D 是错误的。 A .综合就是把抽象设计层次中的一种表示转化成另一种表示的过程 B .综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件 C .为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 D .综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的) 9.嵌套使用IF 语句,其综合结果可实现 A 。 A .带优先级且条件相与的逻辑电路 B .条件相或的逻辑电路 C .三态控制电路 D .双向控制电路 10.在VHDL 语言中,下列对时钟边沿检测描述中,错误的是 D 。 A .if clk'event and clk = ‘1’ then B .if falling_edge(clk) then C .if clk’event and clk = ‘0’ then D .if clk’stable and not clk = ‘1’ then 11.下列那个流程是正确的基于EDA 软件的FPGA / CPLD 设计流程 B A .原理图/HDL 文本输入→适配→综合→功能仿真→编程下载→硬件测试 B .原理图/HDL 文本输入→功能仿真→综合→适配→编程下载→硬件测试 C .原理图/HDL 文本输入→功能仿真→综合→编程下载→→适配硬件测试; D .原理图/HDL 文本输入→功能仿真→适配→编程下载→综合→硬件测试 12.在VHDL 语言中,下列对进程(PROCESS )语句的语句结构及语法规则的描述中,正确的是 A 。 A .PROCESS 为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 B .敏感信号参数表中,应列出进程中使用的所有输入信号 C .进程由说明部分、结构体部分、和敏感信号参数表三部分组成 D .当前进程中声明的变量也可用于其他进程 13.下列语句中,不属于并行语句的是 B A .进程语句 B .CAS E 语句 C .元件例化语句 D .WHEN …ELSE …语句 14.VHDL 语言共支持四种常用库,其中哪种库是用户的VHDL 设计现行工作 库 D A .IEEE 库 B .VITAL 库 C .STD 库 D .WORK 库 15.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A .器件外部特性 B .器件的综合约束 C .器件外部特性与内部功能 D .器件的内部功能 二、EDA 名词解释,写出下列缩写的中文含义(10分) 1.CPLD :复杂可编程逻辑器件 2.ASIC :专用集成电路 3.LUT :查找表 4.EDA :电子设计自动化 5.ROM :只读存储器 三、程序填空题(20分) 以下是一个模为24(0~23)的8421BCD 码加法计数器VHDL 描述,请补充完整

uml期末考试题a卷及答案

文档来源为:从网络收集整理.word 版本可编辑.欢迎下载支持. 一、单选题 1.执行者(Actor )与用例之间的关系是( ) (A )包含关系 (B )泛化关系 (C )关联关系 (D )扩展关系 2 .在类图中,下面哪个符号表示继承关系( 3.在类图中,“ #”表示的可见性是( ) (A )Public (B )Protected (C )Private (D )Package 4.下面那个类图的表示是正确的( ) 5.下面哪个符号代表部署图的节点( ) 6.生命线是UML 视图中哪个图形的组成部分( ) (A )类图 (B )状态图 (C )活动图 (D )顺序图 7.在类图中,那种关系表达总体与局部的关系( ) (A )泛化 (B )实现 (C )依赖 (D )聚合 8.下面哪个图形代表活动( ) 9.下面哪个UML 视图是描述一个对象的生命周期的( ) (A )类图 (B )状态图 (C )协作图 (D )顺序图 10.下面哪个视图属于UML 语言的交互图( ) (A )行为图 (B )状态图 (C )实现图 (D )顺序图 11.下面哪个符号代表包图( ) (B) (A) (C) (D) (B) (A) (C) (D) (B) (A) (C) (D) (B) (A) (D)

文档来源为从网络收集整理 .word版本可编辑.欢迎下载支持 . 12.在UML协作图中,有多少种关联角色的构造型( ) (A)1 (B)3 (C)5 (D)7 13.在类图中,哪种关系表达总体与局部的关系() (A)泛化(B)实现(C)依赖(D)聚合 14.在类图中,“#”表示的可见性是() (A)Public (B)Protected (C)Private (D)Package 15.下面哪个符号表示注释() 二、多选题 1.下面哪些图形可以清楚地表达并发行为() (A)类图(B)状态图(C)活动图(D)顺序图 2.下面哪些元素构成了组件图形() (A)组件(B)转换(C)关系(D)接口 3.部署图中的节点具有以下哪些方面的内容() (A)计算能力(B)基本内存(C)位置(D)接口 4.顺序图的用途包括() (A)显示并发进程和激活 (B)当不同的类之间存在多个简短的方法时,描述控制流的整体序列 (C)显示在协作图中难于描述的事件序列 (D)显示涉及类交互而与对象无关的一般形式 5.常见的UML工具有哪些?() (A)Rational Rose (B)Power Designer (C)Visio (D)Visual UML 三、填空题(每空2分,共30分) 1. UML中有多种关系,请标出图示是哪种关系: (1)(2) (3)(4) (5)(6) (B) (A) (C) (D) (B) (A) (C) (D) 0..1 0..* employer employee

数据库期末考试试卷A卷.docx

数据库期末考试试卷 A 卷 时间: 90 分钟总分: 100 分 题次一( 50 分)二( 40 分)三( 10 分)总( 100 分) 得分 注:请大家在试卷上注明自己的学号。 :一、选择题。(每题 2 分,共50 分) 名题号12345678910 姓答案 题号11121314151617181920 答案 题号2122232425 答案 1、 ACCESS 数据库是()。 A 、层状数据库 B、网状数据库 C、关系型数据库 D、树状数据库 2、在 ACCESS 数据库中,数据保存在()中。 A 、窗体 B、查询 :C、报表 号D、表 学3、数据库系统的核心是() A 、用户 B、数据 C、数据库管理系统 D、硬件 4、关系数据库中,一个关系代表一个() A 、表 B、查询 C、行 D、列 5、 ACCESS 数据库文件的扩展名是()。 A 、 DBF :B、 DBT C、 M DF 级 D、 MDB 班 6、关系类型中的“一对多”指的是()。 A 、一个字段可以有许多输入项 B、一条记录可以与不同表中的多条记录相关 C、一个表可以有多个记录 D、一个数据库可以有多个表 7、数据库文件中包含()对象。 A 、表 B、查询 C、窗体 D、以上都包含 8、在 ACCESS 的下列数据类型中,不能建立索引的数据类型是()。 A 、文本型 B、备注型 C、数字型 D、日期时间型 9、如果某一字段数据类型为文本型、字段大小为8,该字段中最多可输入()个汉字 A 、 8 B 、 4 C、 16 D 、32 10、在定义表字段时,输入掩码向导只能处理哪两种字段类型()。 A 、文本和数字B、文本和日期型 C、数据和日期型 D、货币和日期 11、下列哪一个不是设置“关系”时的选项()。 A 、实施参照完整性B、级联更新相关字段 C、级联追加相关记录 D、级联删除相关记录 12、如果字段内容为声音文件,可将此字段定义为()类型。 A 、文本B、查阅向导C、 OLE 对象D、备注 13、在表设计视图中,如果要限定数据的输入格式,应修改字段的()属性。 A 、格式B、有效性规则C、输入格式 D 、输入掩码 14、一般情况下,以下哪个字段可以作为主关键字() A 、基本工资 B 、补贴C、职工姓名D、身份证号码 15、级联删除相关记录的含义是() A、删除主表中的记录,将删除任何相关表中的相关记录 B、删除相关表中的记录,将删除主表中的记录 C、只能删除“一对一”表中的相关记录 D、不能删除“一对多”表中的相关记录 16、文本型字段最多可以存放()个字符。 A 、250B、 10C、 254D、 255 17、下面有关主键的叙述正确的是()。 A、不同的记录可以具有重复的主键值或空值 B、一个表中的主键何以是一个或多个 C、在一个表中的主键只可以是一个字段 D、表中的主键的数据类型必须定义为自动编号或文本 18、下面有关ACCESS 数据库的叙述正确的是() 1

初一期末考试试卷及答案

初一期末考试试卷及答案2019 一、请你选择(共40分) ——认真细致,点滴做起! ▲单项选择(8小题,每题3分,共24分。下列每小题的四个选项中,只有一项是最符合题意的,请将所选项字母填入题后括号) 1、升入初中,进入新的学习环境,绝大部分学生感觉不适合,这是() A.正常的,没必要理会 B.正常的,但也必须采取适当措施积极应对 C.会严重危害身心健康 D.不正常的,是想辍学的表现 2、在新的学校,大家都希望尽快让老师理解和了解自己。下列做法准确的是() A.上课说话,让老师理解自己 B.独来独往,让老师注意自己 C.学习中积极主动,向老师提问题、请教 D.让父母来找老师,替你说点好话 3、良好的班集体不但能保障我们学习活动的顺利实行,而且能促动我们生活水平和综合素质的提升。它的建设取决于() A.是否具有健康向上的班风B.班主任对班级同学的严厉水准 C.班级同学的自身素质D.教师和教学设备的优劣

4、我国保障适龄儿童、少年接受义务教育的专门法律是() A.教育法B.宪法C.刑法D.义务教育法 5、观察漫画,图中父亲的行为侵犯了孩子() A.受教育权B.人格尊严 C.姓名权D.隐私权 6、无论别人给予我们的协助多么微不足道,我们都应该诚恳的说一声() A、请B对不起C、谢谢D、劳驾 7、即使我们每个人的境遇和条件不同,但人生来平等,这种平等应该得到充分的尊重,人与人之间的平等,集中表现在() ①人格上的平等②出身的平等③法律地位上的平等④财富上的平均 A、①④ B、②④ C、①③ D、③④ 8、宽容并不意味着是非不分,曲直不辨,也不是爱憎不明,麻木不仁,这充分说明() A、宽容就是要原谅一切 B、宽容是原谅和不计较他人 C、宽容就是宽厚待人,与人为善 D、宽容是有原则的,不是盲目的 ▲多项选择(4小题,每小题4分,共16分。下列每小题的四个选项中,至少有两项是符合题意的,请将所选项字母填入题后括号。多选、错选均不得分。少选者:若有两个准确选项,只选一项者得2

(完整版)EDA期末考试题1

1.一个项目的输入输出端口是定义在( A )1-5 ACDCD 6-10 CCACA A. 实体中;. B. 结构体中; C. 任何位置; D. 进程中。 2. MAXPLUS2中编译VHDL源程序时要求( C ) A. 文件名和实体可以不同名; B. 文件名和实体名无关; C. 文件名和实体名要相同; D. 不确定。 3. VHDL语言中变量定义的位置是(D ) A. 实体中中任何位置; B. 实体中特定位置; C. 结构体中任何位置; D. 结构体中特定位置。 4.可以不必声明而直接引用的数据类型是(C ) A. STD_LOGIC ; B. STD_LOGIC_VECTOR; C. BIT; D. ARRAY。 5. MAXPLUS2不支持的输入方式是(D ) A 文本输入;.B. 原理图输入;C. 波形输入;D. 矢量输入。 6.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C ) A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。 7.下面不属于顺序语句的是( C ) A. IF语句; B. LOOP语句; C. PROCESS语句; D. CASE语句。 8. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是( A ) A. 器件外部特性; B. 器件的内部功能; C. 器件的综合约束; D. 器件外部特性与内部功能。 9. 进程中的信号赋值语句,其信号更新是( C ) A. 按顺序完成; B. 比变量更快完成; C. 在进程的最后完成; D. 都不对。 10. 嵌套使用IF语句,其综合结果可实现:(A ) A. 带优先级且条件相与的逻辑电路; B. 条件相或的逻辑电路; C. 三态控制电路; D. 双向控制电路。 一、单项选择题:(20分) 1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述

UML期末考试题(必考)分析

一、选择 1.UML 的全称是 ( ) (A )Unify Modeling Language (B )Unified Modeling Language (C )Unified Modem Language (D )Unified Making Language 2.参与者(Actor )与用例之间的关系是( ) (A )包含关系(B )泛化关系(C )关联关系 (D )扩展关系 3.在类图中,下面哪个符号表示继承关系( ) (B) (A) (C) (D) 4.下面哪个视图属于UML 语言的交互图( ) (A )行为图 (B )状态图 (C )实现图 (D )顺序图 5. 在类图中,下面哪个符号表示实现关系( ) (B) (A) (C) (D) 6.下面哪个图形代表活动( ) 7.UML 中关联的多重度是指 ( ) (A )一个类有多个方法被另一个类调用 (B )一个类的实类能够与另一个类的多个实类相关联 (C )一个类的某个方法被另一个类调用的次数 (D )两个类所具有的相同的方法和属性 8.下面哪个不是UML 中的静态视图( ) (A)状态图 (B)用例图 (C)对象图 (D)类图 9.( )技术是将一个活动图中的活动状态进行分组,每一组表示一个特定的类、人或部门,他们负责完成组内的活动。 (A)泳道 (B)分叉汇合 (C)分支 (D)转移 10.下列关于状态图的说法中,正确的是( ) (A) 状态图是UML 中对系统的静态方面进行建模的图之一。 (B) 状态图是活动图的一个特例,状态图中的多数状态是活动状态 (C) 活动图和状态图是对对象的生命周期进行建模,描述对象随时间变化的行为。 (D) 状态图强调对有几个对象参与的活动过程建模,而活动图更强调对单个反应型对象建模 11.类图应该画在Rose 的哪种( )视图中。 (A)Use Case View (B)Logic View (C)Component View (D)Deployment View 12.顺序图由类角色,生命线,激活期和( )组成 (A)关系 (B)消息 (C)用例 (D)实体 13.关于通信图的描述,下列哪个不正确( ) (A)通信图作为一种交互图,强调的是参加交互的对象的组织; (B)通信图是顺序图的一种特例 (C)通信图中有消息流的顺序号;

相关文档
最新文档