电子技术基础 模拟部分(第五版)第七章

电子技术基础 模拟部分(第五版)第七章
电子技术基础 模拟部分(第五版)第七章

电子技术基础模拟部分

第五版

第七章作业题解答

田汉平

湖南人文科技学院通信与控制工程系

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

模拟电子技术基础知识点总结

模拟电子技术复习资料总结 第一章半导体二极管 一.半导体的基础知识 1.半导体---导电能力介于导体和绝缘体之间的物质(如硅Si、锗Ge)。 2.特性---光敏、热敏和掺杂特性。 3.本征半导体----纯净的具有单晶体结构的半导体。 4.两种载流子----带有正、负电荷的可移动的空穴和电子统称为载流子。 5.杂质半导体----在本征半导体中掺入微量杂质形成的半导体。体现的是半导体的掺杂特性。*P型半导体:在本征半导体中掺入微量的三价元素(多子是空穴,少子是电子)。 *N型半导体: 在本征半导体中掺入微量的五价元素(多子是电子,少子是空穴)。 6.杂质半导体的特性 *载流子的浓度---多子浓度决定于杂质浓度,少子浓度与温度有关。 *体电阻---通常把杂质半导体自身的电阻称为体电阻。 *转型---通过改变掺杂浓度,一种杂质半导体可以改型为另外一种杂质半导体。 7. PN结 * PN结的接触电位差---硅材料约为0.6~0.8V,锗材料约为0.2~0.3V。 * PN结的单向导电性---正偏导通,反偏截止。 8. PN结的伏安特性 二. 半导体二极管 *单向导电性------正向导通,反向截止。 *二极管伏安特性----同PN结。 *正向导通压降------硅管0.6~0.7V,锗管0.2~0.3V。 *死区电压------硅管0.5V,锗管0.1V。 3.分析方法------将二极管断开,分析二极管两端电位的高低: 若V阳>V阴( 正偏),二极管导通(短路); 若V阳

2) 等效电路法 直流等效电路法 *总的解题手段----将二极管断开,分析二极管两端电位的高低: 若V阳>V阴( 正偏),二极管导通(短路); 若V阳

模拟电子技术基础简明教程(第三版)答案-

习题1-1欲使二极管具有良好的单向导电性,管子的正向电阻和反向电阻分别为大一些好,还是小一些好?答:二极管的正向电阻越小越好,反向电阻越大越好。理想二极管的正向电阻等于零,反向电阻等于无穷大。习题1-2假设一个二极管在50℃时的反向电流为10μA ,试问它在20℃和80℃时的反向电流大约分别为多大?已知温度每升高10℃,反向电流大致增加一倍。解:在20℃时的反向电流约为:3 2 10 1.25A A μμ-?=在80℃时的反向电流约为:321080A A μμ?=

习题1-5欲使稳压管具有良好的稳压特性,它的工作电流I Z 、动态电阻r Z 以及温度系数αU ,是大一些好还是小一些好? 答:动态电阻r Z 愈小,则当稳压管的电流变化时稳压管的电压变化量愈小,稳压性能愈好。 一般来说,对同一个稳压管而言,工作电流I Z 愈大,则其动态内阻愈小,稳压性能也愈好。但应注意不要超过其额定功耗,以免损坏稳压管。 温度系数αU 的绝对值愈小,表示当温度变化时,稳压管的电压变化的百分比愈小,则稳压性能愈好。

100B i A μ=80A μ60A μ40A μ20A μ0A μ0.993 3.22 安全工作区

习题1-11设某三极管在20℃时的反向饱和电流I CBO =1μA , β=30;试估算该管在50℃的I CBO 和穿透电流I CE O 大致等于多少。已知每当温度升高10℃时,I CBO 大约增大一倍,而每当温度升高1℃时,β大约增大1% 。解:20℃时,()131CEO CBO I I A βμ=+=50℃时,8C BO I A μ≈() () ()0 5020 011%3011%301301%39 t t ββ--=+=?+≈?+?=()13200.32CEO CBO I I A mA βμ=+==

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础--第一章练习题及参考答案

第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

清华大学《模拟电子技术基础》习题解答与答案

第一章 半导体基础知识 自测题 一、(1)√ (2)× (3)√ (4)× (5)√ (6)× 二、(1)A (2)C (3)C (4)B (5)A C 三、U O1≈1.3V U O2=0 U O3≈-1.3V U O4≈2V U O5≈2.3V U O6≈-2V 四、U O1=6V U O2=5V 五、根据P CM =200mW 可得:U CE =40V 时I C =5mA ,U CE =30V 时I C ≈6.67mA ,U CE =20V 时I C =10mA ,U CE =10V 时I C =20mA ,将改点连接成曲线,即为临界过损耗线。图略。 六、1、 V 2V mA 6.2 A μ26V C C CC CE B C b BE BB B =-====-= R I U I I R U I β U O =U CE =2V 。 2、临界饱和时U CES =U BE =0.7V ,所以 Ω ≈-= == =-= k 4.45V μA 6.28mA 86.2V B BE BB b C B c CES CC C I U R I I R U I β 七、T 1:恒流区;T 2:夹断区;T 3:可变电阻区。 习题 1.1(1)A C (2)A (3)C (4)A 1.2不能。因为二极管的正向电流与其端电压成指数关系,当端电压为1.3V 时管子会因电流过大而烧坏。 1.3 u i 和u o 的波形如图所示。 1.4 u i 和u o 的波形如图所示。 t

1.5 u o 的波形如图所示。 1.6 I D =(V -U D )/R = 2.6mA ,r D ≈U T /I D =10Ω,I d =U i /r D ≈1mA 。 1.7 (1)两只稳压管串联时可得1.4V 、6.7V 、8.7V 和14V 等四种稳压值。 (2)两只稳压管并联时可得0.7V 和6V 等两种稳压值。 1.8 I ZM =P ZM /U Z =25mA ,R =U Z /I DZ =0.24~1.2k Ω。 1.9 (1)当U I =10V 时,若U O =U Z =6V ,则稳压管的电流为4mA ,小于其最小稳定电流,所以稳压管未击穿。故 V 33.3I L L O ≈?+= U R R R U 当U I =15V 时,由于上述同样的原因,U O =5V 。 当U I =35V 时,U O =U Z =5V 。 (2)=-=R U U I )(Z I D Z 29mA >I ZM =25mA ,稳压管将因功耗过大而损坏。 1.10 (1)S 闭合。 (2)。,Ω=-=Ω≈-=700)V (233)V (Dm in D m ax Dm ax D m in I U R I U R 1.11 波形如图所示。 1.12 60℃时I CBO ≈32μA 。 1.13 选用β=100、I CBO =10μA 的管子,其温度稳定性好。 1.14

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

模拟电子技术基础全套教案

《模拟电子技术基础》教案 1、本课程教学目的: 本课程是电气信息类专业的主要技术基础课。其目的与任务是使学生掌握常用半导体器件和典型集成运放的特性与参数,掌握基本放大、负反馈放大、集成运放应用等低频电子线路的组成、工作原理、性能特点、基本分析方法和工程计算方法;使学生具有一定的实践技能和应用能力;培养学生分析问题和解决问题的能力,为后续课程和深入学习这方面的内容打好基础。 2、本课程教学要求: 1.掌握半导体器件的工作原理、外部特性、主要参数、等效电路、分析方法及应用原理。 2.掌握共射、共集、共基、差分、电流源、互补输出级六种基本电路的组成、工作原理、特点及分析,熟悉改进放大电路,理解多级放大电路的耦合方式及分析方法,理解场效应管放大电路的工作原理及分析方法,理解放大电路的频率特性概念及分析。 3.掌握反馈的基本概念和反馈类型的判断方法,理解负反馈对放大电路性能的影响,熟练掌握深度负反馈条件下闭环增益的近似估算,了解负反馈放大电路产生自激振荡的条件及其消除原则。 4.了解集成运算放大器的组成和典型电路,理解理想运放的概念,熟练掌握集成运放的线性和非线性应用原理及典型电路;掌握一般直流电源的组成,理解整流、滤波、稳压的工作原理,了解电路主要指标的估算。 3、使用的教材: 杨栓科编,《模拟电子技术基础》,高教出版社 主要参考书目: 康华光编,《电子技术基础》(模拟部分)第四版,高教出版社 童诗白编,《模拟电子技术基础》,高等教育出版社, 张凤言编,《电子电路基础》第二版,高教出版社, 谢嘉奎编,《电子线路》(线性部分)第四版,高教出版社,

陈大钦编,《模拟电子技术基础问答、例题、试题》,华中理工大学出版社,唐竞新编,《模拟电子技术基础解题指南》,清华大学出版社, 孙肖子编,《电子线路辅导》,西安电子科技大学出版社, 谢自美编,《电子线路设计、实验、测试》(二),华中理工大学出版社, 绪论 本章的教学目标和要求: 要求学生了解放大电路的基本知识;要求了解放大电路的分类及主要性能指标。 本章总体教学内容和学时安排:(采用多媒体教学) §1-1 电子系统与信号0.5 §1-2 放大电路的基本知识0.5 本章重点: 放大电路的基本认识;放大电路的分类及主要性能指标。 本章教学方式:课堂讲授 本章课时安排: 1 本章的具体内容: 1节 介绍本课程目的,教学参考书,本课程的特点以及在学习中应该注意的事项和学习方法; 介绍放大电路的基本认识;放大电路的分类及主要性能指标。 重点: 放大电路的分类及主要性能指标。

“模拟电子技术基础”课程教学大纲

“模拟电子技术基础”课程教学大纲 课程名称:模拟电子技术基础 教材信息:《模拟电子电路及技术基础(第三版)》,孙肖子主编 主讲教师:孙肖子(西安电子科技大学电子工程学院副教授) 学时:64学时 一、课程的教学目标与任务 通过本课程教学使学生在已具备线性电路分析的基础上,进一步学习包含有源器件的线性电路和线性分析、计算方法。使学生掌握晶体二极管、稳压管、晶体三极管、场效应管和集成运放等非线性有源器件的工作原理、特性、主要参数及其基本应用电路,掌握各种放大器、比较器、稳压器等电路的组成原理、性能特点、基本分析方法和工程计算及应用技术,获得电子技术和线路方面的基本理论、基本知识和基本技能。培养学生分析问题和解决问题的能力,为以后深入学习电子技术其他相关领域中的内容,以及为电子技术在实际中的应用打下基础。 二、课程具体内容及基本要求 (一)、电子技术的发展与模电课的学习MAP图(2学时) 介绍模拟信号特点和模拟电路用途,电子技术发展简史,本课程主要教学内容,四种放大器模型的结构、特点、用途及增益、输入电阻、输出电阻等主要性能指标,频率特性和反馈的基本概念。 1.基本要求 (1)了解电子技术的发展,本课程主要教学内容,模拟信号特点和模拟电路用途。 (2)熟悉放大器模型和主要性能指标。

(3)了解反馈基本概念和反馈分类。 (二)、集成运算放大器的线性应用基础(8学时) 主要介绍各种理想集成运算应用电路的分析、计算,包括同/反相比例放大、同/反相相加、相减、积/微分、V-I和I-V变换电路和有源滤波等电路的分析、计算,简单介绍集成运放的实际非理想特性对应用电路的影响及实践应用中器件选择的依据和方法。 1.基本要求 (1)了解集成运算放大器的符号、模型、理想运放条件和电压传输特性。 (2)熟悉在理想集成运放条件下,对电路引入深反馈对电路性能的影响,掌握“虚短”、“虚断”和“虚地”概念。 (3)掌握比例放大、相加、相减、积/微分、V-I和I-V变换电路的分析、计算。 (4)了解二阶有源RC低通、高通、带通、带阻和全通滤波器的传递函数、幅频特性及零极点分布,能正确判断电路的滤波特性。 (5)熟悉集成运算放大器的主要技术指标的含义,了解实际集成运放电路的非理想特性对实际应用的限制。 2.重点、难点 重点:各种集成运放应用电路的分析、计算和设计。 难点:有源滤波器的分析、计算和集成运放非理想特性对实际应用的影响,。 (三)、电压比较器、弛张振荡器及模拟开关(4学时) 主要介绍简单比较器、迟滞比较器和弛张振荡器的电路构成、特点、用途、传输特性及主要参数的分析、计算,简单介绍单片集成电压比较器和模拟开关的特点、主要参数和基本应用。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

学习《电子技术基础》的一些心得体会

学习《电子技术基础》的一些心得体会 ZD8898 一.电子技术基础是通信、电子信息、自动控制、计算机等专业的 专业基础课程 电子技术基础包含了《模拟电子技术基础》和《数字电子技术基础》两门最重要的专业基础课程。是上述专业最底层,最基础的课程。首先要从思想上高度重视这两门基础课的学习,你才能学好这两门课。如果这两门基础课程学不好,可以肯定,其它的专业课程也学不好。因为没有扎实的电子技术方面的基础,就无法理解和掌握其它的专业课程的知识。例如高频电路、自动控制、计算机接口电路、微型计算机技术等等。假如你对放大、反馈、振荡、滤波电路都读不懂,你怎么能读懂彩色电视机电路图、DVD电路图?如果你对数字电路一窍不通,你怎么去学习计算机硬件和软件知识?你怎么能成为出色的电气工程师? 二.培养对电子技术的兴趣,使你学好电子技术有充足的学习动力 大家都知道,如果你想要学习某个方面的知识和技能,就必须对这方面有浓厚的兴趣才能学好。 例如歌手,除了其本身有好的嗓子外,他(她)们肯定对唱歌有浓厚的兴趣,他(她)们才能如此刻苦去学习,才能成为百姓们喜爱的歌唱演员。中央电视台〈星光大道〉节目中出来的歌手,如李玉刚、阿宝、朱之文、石头、玖月奇迹、凤凰传奇、王二妮等等就是最好的例子。 同样,学习电子技术基础也如此。只有对这门课程有兴趣,不是老师要我学,而是我要学。只有这样自己才能变被动学习为主动学习,才能学好电子技术基础。 本人能从事电子技术工作数十年,其中一个非常重要的原因就是爱好电子技术,对电子技术有浓厚的兴趣。我在大学学的专业是物理专业,而不是电子专业。毕业后分配到三线的工厂,当时正是文化革命时期,到了工厂就接受工人阶级再教育,六、七年的时间,和其它工人师傅一样,一直在车间生产第一线。三班倒,干的是高温作业,又热又累的工作。尽管干的别的工种的活,但我热爱电子技术。到工厂之后,对电器、电子特别有兴趣。就自学电工、半导体以及电子方面的知识。自己组装收音机、电视机等。电子技术的水平得到提高。在车间实现了多项技术革新。如程序控制的熔结炉、涡流棒材探伤仪等。后来成为电气工程师。80年代,本人又从研究所调回学校,从事科研和教学工作。同时负责实验室的仪器设备的电器维修工作。所以说兴趣爱好是学习的动力和源泉。本人深有体会。 三.电子技术基础是比较难学的课程。 无论是〈模拟电子技术基础〉或〈数字电子技术基础〉课程都是难度较大的课程。

相关文档
最新文档