压控LC振荡器

压控LC振荡器
压控LC振荡器

目录

1 引言 (2)

1.1 振荡器简介 (2)

1.2 系统设计的目的 (2)

1.3 系统设计的意义 (2)

2 系统设计要求和设计方案 (3)

2.1设计任务及基本要求 (3)

2.1.1 任务 (4)

2.1.2 基本要求 (4)

2.2 总体设计思路 (4)

2.3 基本模块的论证与选择 (4)

2.3.1 电压控制LC振荡器模块 (5)

2.3.1.1互感耦合振荡器 (5)

2.3.1.2 电感反馈三端式振荡电路 (5)

2.3.1.3 电容反馈三端式振荡电路 (5)

2.3.1.4 集成电路振荡器 (6)

2.3.2 LC控制信号的实现 (8)

2.3.3 稳幅电路的选择 (9)

2.3.4频率控制方式的设计与选择 (9)

2.3.5功率放大器 (10)

2.3.6 系统组成构图 (10)

3 单元电路的设计 (11)

3.1压控振荡器和稳幅电路的设计 (11)

3.2锁相环式频率合成器的设计 (12)

3.3 峰值检测电路 (16)

3.3 系统软件的设计 (18)

4 测试方法及结果分析 (20)

4.1 测试仪器 (20)

4.2 测试方法 (20)

4.3 结果分析 (20)

5 总结 (21)

6 参考文献 (21)

电压控制LC振荡器

1 引言

1.1 振荡器简介

振荡器简单地说就是一个频率源,一般用在锁相环中。详细说就是一个不需要外信号激励、自身就可以将直流电能转化为交流电能的装置。一般分为正反馈和负阻型两种。所谓“振荡”,其涵义就暗指交流,振荡器包含了一个从不振荡到振荡的过程和功能。能够完成从直流电能到交流电能的转化,这样的装置就可以称为“振荡器”。

压控振荡器(VCO)的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄;RC压控振荡器的频率稳定度低而调频范围宽,LC压控振荡器居二者之间。

振荡器广泛应用于各行各业中,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表中采用频率稳定度很高的振荡电路作为定时部件等。在通信系统电路中,压控振荡器(VCO)是其关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等电路中更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO压控振荡器几乎与电流源电路和运放电路具有同等重要的地位。

1.2 系统设计的目的

了解、分析振荡器设计的基本设计和发展方向,掌握压控LC振荡电路的主要技术指标,电路结构,工作原理。

1.3 系统设计的意义

随着电子技术的迅速发展,振荡器的用途也越来越广泛,振荡器自其诞生以来就一直在通信、电子、航海航空航天及医学等领域扮演重要的角色,

具有广泛的用途。在无线电技术发展的初期,振荡器就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接收设备的基本部件。

本设计电压控制LC振荡器是如今使用非常广泛的一类电子器件,为电一光转换电路、移动式手持设备等提供了很多的解决方案。本文设计的是电压控制LC振荡器,设计中采用了改进型电容三点式西勒振荡器电路作为本设计的主要组成部分,解决了基本三点式振荡电路设计中存在的改变振荡频率必改变反馈系数的矛盾,通过调节压控变容二极管两端电压来改变振荡器的输出频率,使设计系统达到15MHz~35MHz输出频率可变的要求。在LC振荡器的LC回路中,使用电压控制电容器(变容二极管器),就可以在一定频率范围内构成电压调谐振荡器,即电压控制LC振荡器。压控振荡器可广泛使用于频率调制器,锁相环路,以及无线电发射机和接收机中。

本设计电压控制LC振荡器采用了变容二极管来实现电压控制的功能,末级功率放大器采用了三极管9018,实现了功率放大的功能,并使其三极管工作在丙类状态,以提高工作效率。若负载为容性阻抗,采用串联谐振回路以提高输出功率。系统主要选用LC振荡器来实现振荡,并改变电路输入电压来控制电路频率的变化。LC振荡器因谐振回路具有很高的选择性,即使放大器工作在非线性区,振荡电压也非常接近正弦形,达到设计要求。但因它的谐振元件LC之值只限于体积不宜过大,振荡频率不宜太低一般为几百千赫到几百兆赫。频率稳定度一般为10-2~10-4量级,略优于RC 振荡电路,但比石英晶体振荡器要低几个数量级。谐振元件L或C的数值调节方便,可借以改变振荡频率,因而为广播、通信、电子仪器等电子设备所广泛采用。

压控振荡器的应用范围很广,集成化是重要的发展方向。石英晶体压控振荡器中频率稳定度和调频范围之间的矛盾也有待于解决。随着深空通信的发展,将需要内部噪声电平极低的压控振荡器。

2 系统设计要求和设计方案

2.1设计任务及基本要求

2.1.1 任务

设计并制作一个电压控制LC 振荡器。

2.1.2 基本要求

(1)振荡器输出为正弦波,波形无明显失真;

(2)输出频率范围:15MHz~35MHz ;

(3)输出频率稳定度:优于10-3;

(4)输出电压峰峰值:V pp =1V ±0.1V ;

(5)实时测量并显示振荡器输出电压峰峰值,精度优于10%;

(6)可实现输出频率步进,步进间隔为1MHz ±100kHz 。

2.2 总体设计思路

本实验需要设计并制作一个压控LC 振荡器,要求振荡器输出正弦波,没有明显失真,并且输出频率范围为15MHz-35MHz ,通过对振荡电路的分析,可以选择本实验最佳振荡电路形式;实验还要求输出电压峰峰值V pp =1V ±0.1V ,所有考

虑增加一个稳幅电路;实验还要求可实现输出频率步进,步进间隔为1MHz ±100kHz ,所以再增加一个频率控制电路;同时,实验要求实时测量并显示振荡器输出电压峰峰值,可以考虑利用单片机实时测量输出电压峰峰值,再用数码管显示出来;实验亦要求频率稳定度优于10^-3,输出电压峰峰值精度优于10%,在元器件的选择上也是我们需要慎重考虑的地方。最后,考虑到电路驱动更大后级负载的能力,在电路中增加功率放大器。

综上考虑,有如下总体设计:

振荡电路功放输出

单片机频率控制电路

稳幅电路峰值检显示

图1 电路总体设计 2.3 基本模块的论证与选择

2.3.1 电压控制LC振荡器模块

2.3.1.1互感耦合振荡器

互感耦合振荡器是依靠线圈之间的互感耦合实现正反馈的,因此,耦合线圈同名端的正确位置至关重要。同时,耦合量M要选择合适,使之满足振幅起振条件。

互感耦合振荡器有三种形式:调集电路、调基电路和调发电路。这是根据震荡回路是在电极电路、基极电路和发射极电路来区别的。互感耦合振荡器在调整反馈(改变M值)时,基本上不影响振荡频率。但由于分布电容的存在,在频率较高时,难于做出稳定性较高的变压器。因此,它们的工作频率不宜较高,一般应用于中、短波波段。故本次实验不采用互感耦合振荡器。

2.3.1.2 电感反馈三端式振荡电路

电感反馈振荡电路容易起振,但电感反馈支路为感性支路,对高次谐波呈现高阻抗,故对回路中的高次谐波反馈较强,波形失真较大;另外,由于两个电感元件上的分布电容并联于电感元件的两端,工作频率越高,分布电容的影响也愈严重,这就使得电感反馈式三端振荡电路的工作频率不能太高。故本次实验不采用电感反馈三端式振荡器。

2.3.1.3 电容反馈三端式振荡电路

电容三端振荡器的优点是输出波形较好,该电路中的不稳定电容(分布电容,器件的结电容等)都是与该电路并联的,因此适当加大回路电容量,就可以减弱不稳定的分布电容对振荡频率的影响,提高了频率稳定度。

电容三点式振荡器又称考毕兹(Colpitts)振荡器,电容三点式振荡器的反馈信号取自电容C2两端,因为电容对高次谐波呈现较小的容抗,反馈信号中高次谐波分量小,故震荡输出波形好。但当通过改变C1或C2来调节振荡频率时,同时会改变正反馈量的大小,因此会使输出信号幅度发生变化,甚至会使振荡器停振。所以电容三点式振荡电路频率调节很不方便,故适用于频率调节范围不大

的场合。为了减小晶体管极间电容的影响可采用克拉泼(Clapp )电路,与前述电容三点式振荡电路相比,仅在谐振回路电感支路中增加了一个电容C3,其取值比较小,要求C3《C1,C3《C2。谐振回路接入C3后,虽然振荡频率稳定度提高了,改变C3反馈系数可保持不变,但谐振回路接入C3后,使晶体管输出端与回路的耦合减弱,晶体管的等效负载减小,放大器的放大倍数下降,振荡器输出幅度减小。C3越小,放大倍数越小,如C3过小,振荡器不满足振幅起振条件而会停止振荡。若在电路中电感线圈L 上再并一个可变电容,即可构成另外一种改进型电容三点式振荡器,称为西勒(Seiler )振荡器。它改善了克拉泼电路存在的一些问题。调节C4改变振荡频率时,因C3不变,从而可以保持振荡幅度的稳定。当C1》C3,C2》C3时,振荡频率可近似为

)

(2143C C L f o +≈π Q1C1

C2

C3

C4L

图2 电容三端式交流等效电路

2.3.1.4 集成电路振荡器

采用压控振荡器芯片MC1648和变容二极管MV209,外接一个LC 震荡回路构成变容二极管压控振荡器。只需要调节变容二极管两端的电压,即可改变MC1648的输出频率。由于采用集成芯片,电路设计简单系统可靠性高,并且利用锁相环频率合成技术可以使输出频率稳定度进一步提高。

MC1648最大输出频率可达225MHz ,完全满足实验要求,又考虑到本设计要求输出波形良好,输出频率稳定及要求频带较宽,故选择集成

电路振荡器,利用压控振荡器芯片MC1648和变容二极管MV209,外加一个LC并联谐振回路构成压控振荡器。如图3位MC1648的内部原理图。

图3MC1648内部原理图

振荡器包括连接Q6的基极和Q7的集电极的正反馈,其内部包含了一个自动增益控制口(AGE)去限制晶体管(Q6和Q7)发射极耦合对并且允许最佳的频率响应。

典型的压控模式传输特性在图三给出。其中a和c展示了只用变容二极管的的电容的传输特性(包含振荡器的输入电容,6pF)。b展示了振荡器只能输出方波的压控模式。a、c中1K的电阻被用于在测试中保护变容二极管,当直流输入电压不能提供变容二极管的正向偏压时是可以省去的。c中的大电阻(51K)可以使两个变容二极管的交点绝缘。

in

1K

5uF

L

0.1uF out

0.1uF (

a )in

1K 5uF

L out 0.1uF (b )

C

51K

L

out

0.1uF

(c )5uF 0.1uF

QL>=100L=0.13uH QL>=100C=500pF L=1.58uH QL>=100

L=0.065uH

AGC AGC

AGC 0.1uF

图4 典型的压控模式传输特性图

在AGC 和VCO 输入(变容二极管)应该连接电容,当输出频率在1MHz 到50MHz 时一个0.1uF 的电容就够了。当更高频率时应该使用更小电容,更低频时更大电容。

2.3.2 LC 控制信号的实现

方案一:采用普通电压源,通过滑动变阻器改变LC 振荡器的输入电压来实现对LC 输出频率的控制,这种方案可以实现较小的频率间隔,但实际操作比较困难,且误差较大,稳定性低。

方案二:采用VCO 函数发生器,如ICL8038,它通过改变外加控制电压,改变芯片内部电容充电电流,从而改变外部输出频率。但是其输出的频率较低,稳定性不好,步进难以实现。

方案三:采用锁相环路技术,利用锁相环,是VCO的输出频率锁定在所需的频率上,从而产生所需的VCO控制电压,这样大大提高了控制信号的稳定性,而且具有性能可靠,使用方便,多功能等优点。

因此,综合考虑本次设计要求,选择方案三。

2.3.3 稳幅电路的选择

方案一:采用交流电压并联负反馈电路实现稳幅。在放大电路中引入交流电压并联负反馈,反馈网络由一个可变电阻组成,稳定输出电压。但引入交流负反馈,因环境温度变化,电源电压波动等原因引起的放大倍数的变化将减小,是以牺牲放大倍数为代价的。

方案二:采用自动增益电路(AGC)实现稳幅。由于MC1648内部有AGC电路,通过它控制电路,在输入信号变化时,用改变增益的办法维持输出电平基本不变。利用该方法可以进一步提高输出电压的稳定度,保证在15-35MHz范围内,输出电压峰峰值V

=1V±0.1V。

pp

2.3.4频率控制方式的设计与选择

方案一:利用电位器分压电路,通过改变电位器的分压比来改变变容管的反向偏压,从而改变振荡器的振荡频率。该电路的优点是电路结构简单,容易制作,但电位很难实现对频率的精确控制,且电位器容易损坏,噪声大,受温度的影响大。

方案二:利用DAC芯片输出控制电压,通过单片机输出经D/A转换成模拟信号控制振荡器的频率。词电路振荡器输出的步进精度取决于D/A转换器的精度。该电路也较为简单,频率调节是通过数码控制的,大大减少了噪声。在固定的VCO输出电压上,输出频率值是有一定波动的,使得从DAC输出的数据与输出频率值不能一一对应。

方案三:采用数字锁相环式频率合成技术。由晶振、鉴频/鉴相(PD)、环路滤波器(LPF)、可变分频器、压控振荡器(VCO)组成。图5为其组成框图。利用锁相环将输出频率锁定到所需频率上,可以很好地选择所需频率,抑制杂散分量。采用集成芯片,有利于集成化,小型化。频率合成采用大规模集成PLL 芯片MC145152,前置分频器选用芯片MC12022,VCO选择MC1648,环路滤波选用ML358和RC电路组成,这样就可完成锁相环路的设计。该方法设计简单,功能齐全,可靠性高,抗干扰能力强。

晶振分频鉴相/鉴频环路滤波VCO

分频

图5 锁相环组成框图

基于以上考虑,本次设计采用方案三实现频率控制。

2.3.5功率放大器

高效高频功率放大器重点是失真要小、效率要高、输出功率要大。难点在电源电压E=12V时,保证纯阻负载和容性负载电阻上的高频不失真功率要达到Po≥20mW 为了减小功率放大器对LC振荡器的影响和高效率输出大功率,选用有推动级、激励级及末级工作于丙类(C类)的电路结构,性能优劣集中表现为滤波匹配网络的设计上。功率放大器由推动级、激励级和末级三部分组成。为了提高效率,末级工作于丙类,晶体管选用高频功率管。功率放大器中的滤波匹配网络是关键:进行阻抗变换保证激励级至末级,末级至负载高效率获得所需的功率;充分滤除不需要的高次谐波,减小波形的失真;让滤波传输效率ηk=P1/P,尽可能接近1。

2.3.6 系统组成构图

通过方案的论证比较和选择,最终有如图6系统组成框图,其中的集成电路MC1648、MC14512、MC12022、低通滤波器和晶振构成锁相环频率合成器,通过单片机控制频率的步进。由于电路中既有高频电路又有数字电路,需将高频电路用金属屏蔽罩隔离,以减少交叉调制等干扰。

振荡电路鉴相/鉴频功放输出

单片机可控分频

基本晶振

可控增益放大峰值检显示

环路滤波A/D

开关D/A D/A

图6 系统组成构图

各部分电路实现方式分析如下:

1、振荡器部分前面已经介绍过,可以使用闭环频率合成中的锁相(PLL )频率合成。

2、压控电路的实现的系统框图也已经给出。

3、本设计的功率放大电路可以采用丙类功率放大电路来实现;也可以采用不含调谐回路的甲类功率放大电路来实现,其基本特点就是结构简单,制作容易,在调试时只要将晶体管的静态工作点调整好就可实现放大信号的目的,而且可以在电压输出之前设计一个射极跟随器电路来隔离输出。

4、LED 数字显示电压表设计制作可由ICL7107构成的三位半数字电压表电路实现,满量程取为200mv 。根据需要可外接分压电阻扩展量程。焊接时应将V+显示各点(即LED 的公共阳极)用短路线连通,并把B 点与V +短接.需作固定显示的小数点DPX (DP1-DP3中之一)与印制板的引出端DP 相连。 通过测量输出电压间接得出频率值。也可采用A/D 转换专用模块数码显示频率值。

3 单元电路的设计

3.1压控振荡器和稳幅电路的设计

LC 压控振荡器主要有压控芯片MC1648、变容二极管MV209以及LC 震荡回路构成。MC1648需要外接一个由电容和电感组成的并联谐振回路。为了达到最佳工作性能,在工作去频率要求并联谐振回路的QL>=100。电源采用+5V 的电压。

一对串联二极管背靠背与该谐振回路相连,振荡器的输出频率随加在变容二极管的电压的改变而改变。

MC1648VEE Vcc2Vcc1AGC

TANK

BRT 7

14

1

512103输出

OUT

+5V

输入100uH AGC 100pF 0.01uF 2.2uH MV20910K 22uH O.1uF O.1uF

图7 压控振荡器电路图

压控振荡器由芯片内部的Q8、Q5、Q4、Q1、Q7、Q6(上面已经给出),10脚和16脚外接LC 震荡回路(含MV209)组成正反馈(反相720°)。

3.2锁相环式频率合成器的设计

通过前面的介绍对锁相环已经有了一个初步的认识,下面图8给出了其原理框图。 参考分频器

/R 鉴频器/鉴相器环路滤波器压控振荡器可编程分

频器前置分频器÷P/P+1

晶振f/R fo

图8 锁相环频率合成器原理图

可变分频器对压控振荡器的输出信号进行分频,分频之后返回到相位比较器输入端与参考信号进行比较。当环路处于锁定时有所以有fo/P=f/R,所以有

fo=P*f/R。只要改变可变分频器的分频系数P,就可以输出不同频率的信号。

锁相频率合成单元是提高输出频率稳定性的关键部分。目前市场上的频率合成器集成电路很多,我们选用摩托罗拉公司的MC145152。该芯片是摩托罗拉公司生产的锁相环频率合成器专用芯片,是一块14位并行的码输入单模、单片锁相环频率合成器,片内含有参考振荡器,参考分频器,鉴相器,可编程分频器等部件,最大可变分频比为16383,最高工作频率能够满足系统的设计要求。

MC145152芯片具有下列主要特征:

(1)它与双模(P/(P+1))分频器同时使用,有一路双模分频控制输出MC。当MC为低电平时,双模分频器用(P+1)去除;当MC为高电平时,双模分频器用模数P去除。它有A计数器和N计数器两个计数器。它们与双模(P/(P +1))分频器提供了总分频值(NP+A)。其中,A、N计数器可预置。N的取值范围为3~1023,A的取值范围为0~63。A计数器计数期间,MC为低电平;N 计数器计数(N-A)期间,MC为高电平。

(3)它有一个参考振荡器,可外接晶体振荡器。

(4)它有一个R计数器,用来给参考振荡器分频,R计数器可预置,R的取值范围:8,64,128,256,512,1024,1160,2048。

(5)它有两路鉴相信号输出,其中,ФR、ФV用来输出鉴相误差信号,LD 用来输出相位锁定信号。

MC145152的供电电压为3.0V~9.0V,采用28脚双列封装形式。

MC145152的工作原理:参考振荡器信号经R分频器分频后形成f

R

信号。压控振荡器信号经双模(P/(P+1))分频器分频,再经A、N计数器分频器后形成

f V信号,f

V =f

VCO

/(NP+A)。f

R

信号和f

V

信号在鉴相器中鉴相,输出的误差信

号(ΦR、ΦV)经低通滤波器形成直流信号,直流信号再去控制压控振荡器的频率。

当整个环路锁定后,f

V =f

R

且同相,f

VCO

=(NP+A)f

V

=(NP+A)f

R

,便可产生

和基准频率同样稳定度和准确度的任意频率。

锁相环频率合成器选用芯片MC145152-2。晶振选用10.24MHz的晶体,它的频率稳定度较高,可达10-6。低通滤波器选用运放芯片MC33171;模数P=64,工作频率为2.0 GHz;压控振荡器选用压控LC振荡器。

MC145152-2芯片参数的设计:

取f

VCO

=30MHz,P=64,晶体频率10.24MHz,R计数器取次2048分频,有:

f

R =10.24MHz/2048=5kHz,NP+A=f

VCO

/f

R

=30MHz/5kHz=6000

N+A/P=6000/64=93余48,N=93=(0001011101)

2,A=48=(110000)

2

R=2048,RA2~RA0为111,

N=93,N9~N0为0001011101,A=48,A5~A0为110000。

R计数器、N计数器、A计数器可预置,各管脚接地为逻辑0,悬空为逻辑

30MHz频率合成器电路图,如图9所示。

图9 频率合成器电路图

图9中,运放芯片MC33171构成了有源比例积分滤波器,在设计时首先选合适的

电容C,然后,再根据ωn、N、K

V 、K

d

和ξ计算R

1

和R

2

的值。

R 1=K

V

?K

d

/(Nω2

n

C) (1)

R

2=2ξ/(ω

n

C) (2)

式(1)和式(2)中,K

v 为压控振荡器电调灵敏度(rad/sV);K

d

为比相器灵

敏度,K

d =V

DD

/2π,V

DD

是运放的工作电压(V

DD

=5 V),K

d

单位取V/rad;N为

总分频次数;ξ为锁相环路的阻尼系数,ξ的合适取值范围是在0.5~1.0之间,通常选择最佳起始点ξ=0.707;ωn为环路自然谐振角频率,ωn值的选择将直接影响环路滤波特性和捕捉时间,为了保证环路对噪声有较好抑制,ωn 应该远小于鉴相频率ωd,通常可按式(3)选择

ωn=ωd/(30~1 000),(3)

当噪声来源于参考频率和分频器时,ωn可以选择得小些;当噪声来源于压控振荡器时,ωn可选择得大些。

具体计算如下:

K

d =V

DD

/(2π)=5/(2π)=0.796 V/rad,

N=30MHz/5KHz=6000,

ξ=0.707,

ωn=2πf

R

/50=6.28?5kHz/50=628rad/s,

K

V

=4?107rad/sV,选择C=0.1uF,则

R

1

= KνK d/(Nω2n C)=0.796?4?107/(6000?1?107-?6282)=134.6kΩ

R

2

=2ξ/(ωn C)=2?0.707/(628?1?107-)=22.5kΩ

故:R

1选用134.6KΩ电阻,R

2

选用22.5KΩ电阻。在实际调试过程中我们对

电阻值做了微调,使其性能达到最好。

锁相环频率合成的核心芯片MC145152的实现电路如图10所示。其中频率控制端可以由单片机或拨码开关控制,实现输出频率控制。

图10 锁相环频率合成电路

3.3 峰值检测电路

VCO 的输出信号经峰值检波电路之后转换成直流电平,通过ADC0809进行模数转换,送入单片机,有如下峰值检测电路。

R110K C1104R2

10K R310K

R4

10K

R510K

R650K R71K R810K R9 10K

C2103C3 103

按频率测量输出去A/D +12v

+12v D1D2

图11 峰值检波电路 上图中C1端接频率输出,R7接A/D 转换器到单片机。需要使用的硬件主要包括51单片机芯片,ZLG7290数码管管理芯片和数码管。另外还是用到排线若干,下载线及电源线。其中51单片机硬件链接如图12所示,数码管连接图如图13所示:

图12 51单片机硬件连接图

图13 数码管硬件连接图

VCO输出频率的范围是15-30MHz。首先应确定参考频率f‘

r ,f

r

为步长(频

率间隔)的整数倍。频率间隔f‘

r

可由下式确定。

f‘r =f

r

/R

由于R值是固定的,只能从8个参考值中选择,采用10.24MHz的晶振作为标准频率。对其进行 R分频。R取2048,进行分频得到5kHz的脉冲信号作为频率间隔f‘

r

。该值可通过单片机改变。

N值和A值的范围应该在MC145152范围内(A值的范围0~63,N值的范围0~1023)。采用吞咽脉冲计数的方式,尽管P为固定值,但合理选择N和A的值,D即连续可变。

D=A(P+1)+(N-A)P=PN+A

此时f

C 被锁定在:f

C

=(PN+A)?f‘

r

式中,N为0~1023,A为0~63,P=64(由MC145152Q确定)。

现举例计算确定A、N的值,使输出频率为f

C =25MHz,步长f‘

r

=5kHz。则

D=(PN+A)=25M÷5k=5000,5000÷64=78余8

由此可得N=78,A=8,通过此方法可以方便地算出每个频率对应的参数。

3.3 系统软件的设计

软件设计的关键是对PLL芯片MC145152的控制以及测频测幅显示。软件实现的功能是:(1)设定频率间隔f r÷R,即确定调频步进。(2)设定分频系数A、N的值,以得到需要的输出频率。(3)测量输出频率并显示。(4)驱动液晶显示器。

相关软件采用单片机编程实现。图14为软件设计流程图。

开始

初始化

有键按下

步进选择1MHz 步进输出频率调整增加减小参数计算可变A,N

输出频率显示

时间调整

增加减小时间显示

Y N

N Y

Y N Y Y N N

Y

N

图14 软件设计流程图

选用晶振频率为10.24MHz ,首先确定其频率间隔,对其进行 R 分频,若R 取2048,得到频率间隔为5kHz 。可以使调频步进为1MHz ,A 、N 值的计算可由前述的公式来完成,但是在编程过程中并不是将该算法存入程序,而是寻找到A 、N 的变化规律,找到简单的计算方法。

表3-2给出了不同输出频率时分别对应的A 、N 值,通过表我们可以看到存在如下规律:A 、N 的初始值为46、56,频率每增加1M ,A 的值增加8,N 的值增加3,因为A 的取值范围为小于64,所以当A 等于64时,它的取值回到0,同时向N 进一位,也就是此时N 的值加4

Fc/M 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30

A 56 0 8 16 24 32 40 48 56 0 8 16 24 32 40 48 N 46 50 53 56 59 62 65 68 71 75 78 81 84 87 90 93

频率间隔为5kHz 、100kHz 、500kHz 时对应的A 、N 值列表(部分)

4 测试方法及结果分析

4.1 测试仪器

TDS1012B-sc 示波器

VC830L 万用表

4.2 测试方法

通过示波器观察输出波形和频率。测试数据如下:

预置频率/MHz 15.000 16.000 17.000 18.000

19.000 20.000 输出频率/MHz

15.034 16.040 16.980 17.960 19.040 20.000 预置频率/MHz

21.000 22.000 23.000 24.000 25.000 26.000 输出频率/MHz

21.045 22.050 23.042 24.020 24.999 25.950 预置频率/MHz

27.000 28.000 29.000 30.000 31.000 32.000 输出频率/MHz

27.233 28.031 28.955 30.020 31.013 31.989 预置频率/MHz

33.000 34.000 35.000 输出频率/MHz 33.022 34.021 34.930

在示波器测量正弦波的幅度。测试数据如下:

输出频率/MHz 15.00 18.00 20.00 24.00

28.00 30.00 35.00 输出幅度实测值/V 1.034

1.010 1.027 1. 030 1.017 1.012 1.009 输出幅度理论值/V 1.000 1.000 1.000 1.000 1.000 1.000 1.000

4.3 结果分析

输出频率范围及稳定度:整机的输出频率范围为15MHz-30MHz,达到了题目的要求。我们还可以通过增加振荡线圈进一步扩展输出频率范围。

整机在D/A 直接控制方式下,频率稳定度达到了4

102-?。这主要是由于我们选择T f 较高的晶体管,使晶体管的内部相移较小;选择Q 值较高的振荡回路,使回路的相频特性斜率较大,相位也就更稳定;还在振荡器电源部分做了二次稳压,减少了电源对稳定度的影响;在负载和回路间加入射随跟随器,减小了负载对回路的耦合。所以输出频率稳定度较高。在锁相环控制方式下,频率稳定度接近晶

压控振荡器原理和应用说明

压控振荡器(VCO 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj 随反向偏置电压VT 变化而变化的特点(VT=OV 时Cj 是最大值,一 般变容管VT 落在2V-8V 压间,Cj 呈线性变化,VT 在8-10V 则一般为非线性变化,如图1 所示,VT 在10-20V 时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当 改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO 。 压控振荡器的调谐电压 VT 要针对所要求的产品类别及典型应用环境(例如用户提供调谐要 求,在锁相环使用中泵源提供的输出控制电压范围等 )来选择或设计,不同的压控振荡器, 对调谐电压VT 有不同的要求,一般而言,对调谐线性有较高要求者, VT 选在1-10V ,对宽 频带调谐时,VT 则多选择1-20V 或1-24V 。图1为变容二极管的V — C 特性曲线。 图1变容二极管的V — C 特性曲线 三压控振荡器的基本参数 1工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“ MHZ 或 “GHz 。 2输出功率:在工作频段内输出功率标称值,用 Po 表示。通常单位为“ dBmW 。 3输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△ P 表示,通常 单位为“ dBmW 。 4调谐灵敏度:定义为调谐电压每变化1V 时,引起振荡频率的变化量,用 MHz/ △ VT 表示,在线性区,灵敏度最咼,在非线性区灵敏度降低。 5谐波抑制:定义在测试频点,二次谐波抑制 =10Log (P 基波/P 谐波)(dBmw )。 6推频系数:定义为供电电压每变化1V 时,引起的测试频点振荡频率的变化量,用 MHz/V 表 示。 7相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振 f0为fm 的带内,各杂散能量的总和按fin 平均值+15f0点频谱能量之比,单位为dBC/Hz 相位噪 声特点是频谱能量集中在f0附近,因此fm 越小,相噪测量值就越大,目前测量相噪选定 WV) 0 8 10

LC振荡器的实验报告

河海大学计算机与信息学院高频电子电路课程实践报告西勒高频振荡器的制作 指导老师: 朱昌平、张秀平、殷明授课班号: 202601 姓名: 陈强 学号: 1062310211

我先通过上网寻找资料,找相关的原理图,再通过书本上的原理,进行一定的改进,电路除了采用两个将达的电容C3、C9以外,还把基本型的电容反馈线路集电极——基极支路改用LC并联回路再与C4串联,从而叫做西勒电路。 运用Multisim软件进行仿真,刚开始只出来8M左右的波形,后来我通过调节相应电容C5和电感L1的大小,提高了频率大小。最高可以达到22M左右,但同时导致的后果是电压幅值变小。再提高,就会出现波形失真。对于这个问题, 请教了老师与学长,到目前为止还没有解决。

对于电路图的绘制,由于我大一时就学习了Protel ,所以上手很快,仿照仿真图,把原理图规则清楚的画出来(见上图),对于西勒振荡器里面的一些元器件,都是很常见的,所以免去了自己画封装的步骤。然后转换成PCB ,通过排版,调整,设计,主要问题是对于贴片的处理,之前没有做过贴片的板子,所以问了学长如何处理,知道了这方面的知识。画板子的总体速度比较快。以上是最后得到的PCB 。

三.电路硬件制作与调试 元器件列表:LED、单排针、双排针、单插排、9V直流电源 贴片电阻:10K、47Ω、1K、4.7K、100K 电位器:503、102 贴片电容:103P、102P、104P、1PF、220PF、510PF 电解电容:47μF 三极管:9018NPN 电感:1μH定值电感、绕制电感 首先用油纸打印PCB,接着轧板子,打孔;然后对照着原理图和PCB焊接电路板。个人觉得最容易出错的一步是焊接贴片,电容贴片没有标注大小,特别容易错,所以一定要特别小心。由于我之前有过焊板子的经历,这一步骤相对比较顺利。 焊好板子后,就进行电路板的初步调试,用万用表依次测试板子的通断,排除虚短续断的出现,确保之后调试的成功。通过调试发现必须要把电位器102调成0Ω,即顺时针旋转调节集电极偏置电阻R20,听到有滑丝声(即电阻值为0Ω)时停止。然后就可以接通电源,进行下一步的调试——电压。插入1μH 电感,测集电极电压应该与电源电压大小相近,接着测试基极偏置电压,通过不断的调节发现,在电压值为5-6V左右时达到三极管9018的放大区工作点。所以需要旋转基极偏置电阻R2,调节基极偏置电压,用万用表测量,使其电压达到5-6V,这样,就可以用示波器测量输出端P21是否有高频振荡信号。

压控振荡器

压控振荡器 一.基本原理 信号的频率取决于输入信号电压的大小,因此称为“压控振荡器”。其它影响压控振荡器输出信号的参数还VCO(Voltage ControlledOscillator)(压控振荡器)是指输出信号的频率随着输入信号幅度的变化而发生相应变化的设备,它的工作原理可以通过公式(5-1)来描述。 (5-1) 其中,u(t)表示输入信号,y(t)表示输出信号。由于输入信号的频率取决与输入信号的电压的变化,因此称为“压控振荡器”。其他影响压控振荡器输出信号 的参数还有信号的幅度A c ,振荡频率f c ,输入信号灵敏度k c ,以及初始相位。 压控振荡器的特性用输出角频率ω0与输入控制电压uc之间的关系曲线(图1)来表示。图中,uc为零时的角频率ω0,0称为自由振荡角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。 压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄,RC压控振荡器的频率稳定度低而调频范围宽,LC 压控振荡器居二者之间。

在MATLAB中压控振荡器有两种:离散时间压控振荡器和连续时间压控振荡器,这两种压控振荡器的差别在于,前者对输入信号采用离散方式进行积分,而后者则采用连续积分。本书主要讨论连续时间压控振荡器。 为了理解压控振荡器输出信号的频率与输入信号幅度之间的关系,对公式(5-1)进行变换,取输出信号的相角Δ为 对输出信号的相角Δ求微分,得到输出信号的角频率ω和频率f分别为: ω=2πf c+2πk c u(t) (5-3) (5-4) 从式(5-4)中可以清楚地看到,压控振荡器输出信号的频率f与输入信号幅度u(t)成正比。当输入信号u(t)等于0时,输出信号的频率f等于f c;当输入信号u(t)大于0时,输出信号的频率f高于f c;当输入信号u(t)小于0时,输出信号的频率f低于f c。这样,通过改变输入信号的幅度大小就可以准确地控制输出信号的频率。 二.程序及结果分析 定义一个锯齿波信号,频率是20HZ,幅度范围在0V和1V之间。现在用此信号 =20HZ,输入信号作为压控振荡器的输入控制信号,该压控振荡器的振荡频率f c 灵敏度,初始相位。使用MATLAB求得输出的压控振荡信号。MATLAB 程序如下: %MATLAB实现压控振荡器 clear all; clc; t0=0.15;%定义压控信号持续时间 ts=0.0001;%定义信号采样率 fc=50;%定义振荡频率 t=[0:ts:t0];%时间矢量 u0=20*t(1:length(t)/3);%定义压控信号(单周期) u=[u0,u0,u0,0];%定义压控信号(3个周期) Ac=1;%定义振幅 kc=0.1;%定义输入信号灵敏度 fi=0;%定义初始相位 %对压控信号进行积分 u_int(1)=0;%定义压控信号积分初值 for i=1:length(u)-1%进行离散积分 u_int(i+1)=u(i)+u_int(i);

lc压控振荡器实验报告doc

lc压控振荡器实验报告 篇一:实验2 振荡器实验 实验二振荡器 (A)三点式正弦波振荡器 一、实验目的 1. 掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计算。 2. 通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影响。 3. 研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1. 熟悉振荡器模块各元件及其作用。 2. 进行LC振荡器波段工作研究。 3. 研究LC振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4. 测试LC振荡器的频率稳定度。 三、基本原理 图6-1 正弦波振荡器(4.5MHz) 【电路连接】将开关S2的1拨上2拨下, S1全部断开,由晶体管Q3和C13、C20、C10、CCI、L2构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI可用来改变振 荡频率。振荡频率可调范围为:

?3.9799?M??f0??? ? ?4.7079?M? CCI?25p CCI? 5p 调节电容CCI,使振荡器的频率约为4.5MHz 。振荡电路反馈系数: F= C1356 ??0.12 C20470 振荡器输出通过耦合电容C3(10P)加到由Q2组成的射极跟随器的输入端,因C3容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号Q1调谐放大,再经变压器耦合从J1输出。 四、实验步骤 根据图6-1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 1. 调整静态工作点,观察振荡情况。 1)将开关S2全拨下,S1全拨下,使振荡电路停振 调节上偏置电位器RA1,用数字万用表测量R10两端的静态直流电压UEQ(即测量振荡管的发射极对地电压UEQ),使其为5.0V(或稍小,以振荡信号不失真为准),这时表明振荡管的静态工作点电流IEQ=5.0mA(即调节W1使

lc振荡器知识

LC振荡器知识 1.什么是振荡?振荡器必须具备什么条件才能振荡? 答:如图1-28所示电路,开关S打到位置1时,电容C就被充电到电源电压,再将开关S从1切换到2的位置,使电容C与电感L并联起来,这时电容C 就向电感L放电。在C刚放电时,由于电感中的电流不能突变,因此放电电流从零开始,逐渐增大,而电容C的端电压逐渐减小。此时电容C中的电能逐渐变为电感 L中的磁能;当电容中的电荷放完,其端电压等于零时,这时电容不再放电,但由于电感中的电流不能突变,因此,电流并不会突然消失,而是按照原来的方向继续 流动,电感L反过来向电容C充电,电容两端重新出现电荷,但此时电容两端的电压极性与原来电容两端电压极性相反。在L向C反向充电的过程中,电感L的电流 逐渐减小,电容C上的电压逐渐增大,使电感中的磁能又变成电容中的电能。 当电容的端电压达到最大值时,C又向L充电,其过程与前述相同,只是放电电流方向相反。就这样电能和磁能反复地相互转换,我们把这种现象称为振荡。 振荡器实质是一种满足自激振荡条件的反馈放大器,它可以产生正弦波信号或非正弦波信号。能产生正弦波信号的振荡器称为正弦波振荡器,其电路称为正弦 波振荡电路。正弦波振荡电路是一个满足自激振荡条件的正反馈放大电路,有时也称为反馈振荡电路。正弦波振荡器产生持续振荡有两个条件,其一为振幅平衡条件 (∣AF│=AF=1);其二为相位平衡条件(φa+φf=2nπ,n=0,1,2,……)。这里设 式中,φa为基本放大电路输出信号与输入信号之间的相位差;φf为反馈信号与输出信号之问的相位差。 2.正弦波振荡电路由哪几部分组成?各部分有什么作用? 答:正弦波振荡电路由四部分组成,即放大电路、反馈网络、选频网络和稳幅环节。

压控LC电容三点式振荡器设计及仿真

实验二压控LC 电容三点式振荡器设计及仿真 一、实验目的 1、了解和掌握LC 电容三点式振荡器电路组成和工作原理。 2、了解和掌握压控振荡器电路原理。 3、理解电路元件参数对性能指标的影响。 4、熟悉电路分析软件的使用。 二、实验准备 1、学习LC 电容三点式西勒振荡器电路组成和工作原理。 2、学习压控振荡器的工作原理。 3、认真学习附录相关内容,熟悉电路分析软件的基本使用方法。 三、设计要求及主要指标 1、采用电容三点式西勒振荡回路,实现振荡器正常起振,平稳振荡。 2、实现电压控制振荡器频率变化。 3、分析静态工作点,振荡回路各参数影响,变容二极管参数。 4、振荡频率范围:50MHz~70MHz,控制电压范围3~10V。 5、三极管选用MPSH10(特征频率最小为650MHz,最大IC 电流50mA,可 满足频率范围要求),直流电压源12V,变容二极管选用MV209。 四、设计步骤 1、整体电路的设计框图

整个设计分三个部分,主体为LC 振荡电路,在此电路基础上添加压控部分,设计中采用变容二极管MV209 来控制振荡器频率,由于负载会对振荡电路的 频 率产生影响,所以需要添加缓冲器隔离以使振荡电路不受负载影响。 2、LC 振荡器设计 首先应选取满足设计要求的放大管,本设计中采用MPSH10 三极管,其特征频率f T=1000MHz。LC 振荡器的连接方式有很多,但其原理基本一致,本实验中采用电容三点式西勒振荡电路的连接方式,该振荡电路在克拉泼振荡电路的基础上进行了细微的改良,增加了一个与电感L 并联的电容,主要利用其改变频率而不对振荡回路的分压比产生影响的特点。电路图如下所示:

压控振荡器的设计与仿真.

目录 1 引言 (2) 2 振荡器的原理 (5) 2.1 振荡器的功能、分类与参数 (5) 2.2 起振条件 (9) 2.3 压控振荡器的数学模型 (10) 3 利用ADS仿真与分析 (11) 3.1 偏置电路的的设计 (12) 3.2 可变电容VC特性曲线测试 (13) 3.3 压控振荡器的设计 (15) 3.4 压控振荡器相位噪声分析 (18) 3.5 VCO振荡频率线性度分析 (23) 4 结论 (24) 致谢 (25) 参考文献 (25)

压控振荡器的设计与仿真 Advanced Design System客户端软件设计 电子信息工程(非师范类)专业 指导教师 摘要:ADS可以进行时域电路仿真,频域电路仿真以及数字信号处理仿真设计,并可对设计结果进行成品率分析与优化,大大提高了复杂电路的设计效率。本论文运用ADS仿真软件对压控振荡器进行仿真设计,设计出满足设计目标的系统,具有良好的输出功率,相位噪声性能及震荡频谱线性度。本论文从器件选型开始,通过ADS软件仿真完成了有源器件选型,带通滤波器选型,振荡器拓扑结构确定,可变电容VC特性曲线,瞬态仿真及谐波平衡仿真。实现了准确可行的射频压控振荡器的计算机辅助设计。关键字:压控振荡器,谐波平衡仿真,ADS 1 引言 振荡器自其诞生以来就一直在通信、电子、航海航空航天及医学等领域扮演重要的角色,具有广泛的用途。在无线电技术发展的初期,它就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接收设备的基本部件。随着电子技术的迅速发展,振荡器的用途也越来越广泛,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表中采用频率稳定度很高的振荡电路作为定时部件等。尤其在通信系统电路中,压控振荡器(VCO)是其关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要地位。 人们对振荡器的研究未曾停止过。从早期的真空管时代当后期的晶体管时代,无论是理论上还是电路结构和性能上,无论是体积上还是制作成本上无疑都取得了飞跃性的

晶体振荡器与压控振荡器

晶体振荡器与压控振荡器 一、实验目的: 1.掌握高频电子电路的基本设计能力及基本调试能力,并在此基础上设计并联变换的晶体正弦波振荡器。 2.比较LC振荡器和晶体振荡器的频率稳定度。 二、实验内容: 1.熟悉振荡器模块各元件及其作用。 2.分析与比较LC振荡器与晶体振荡器的频率稳定度。 3.改变变容二极管的偏置电压,观察振荡器输出频率的变化。 三、基本原理: 1.下图是石英晶体谐振器的等效电路: 图中C0是晶体作为电介质的静电容,其数值一般为几个皮法到几十皮法。L q、C q、r q是对应于机械共振经压电转换而呈现的电参数。r q是机械摩擦和空气阻尼引起的损耗。由图3-1可以看出,晶体振荡器是一串并联的振荡回路,其串联谐振频率f q和并联谐振频率f0分别为 f q=1/2πLqCq,f0= f q Co 1 Cq/ 图1 晶体振荡器的等效电路 当W<W q或W> W o时,晶体谐振器显容性;当W在W q和W o之间,晶体谐振器等效为一电感,而且为一数值巨大的非线性电感。由于Lq很大,即使在W q处其电抗变化率也很大。其电抗特性曲线如图所示。实际应用中晶体工作于W q~W o之间的频率,因而呈现感性。

图2 晶体的电抗特性曲线 设计内容及要求 2 并联型晶体振荡器 图3 c-b型并联晶体振荡器电路 图 4 皮尔斯原理电路图 5 交流等效电路

C3用来微调电路的振荡频率,使其工作在石英谐振器的标称频率上,C1、C2、C3串联组成石英晶体谐振器的负载电容C L上,其值为 C L=C1C2C3/(C1C2+C2C3+C1C3) C q/ (C0+C L)<<1 3.电路的选择: 晶体振荡电路中,与一般LC振荡器的振荡原理相同,只是把晶体置于反馈网络的振荡电路之中,作为一感性元件,与其他回路元件一起按照三端电路的基本准则组成三端振荡器。根据实际常用的两种类型,电感三点式和电容三点式。由于石英晶体存在感性和容性之分,且在感性容性之间有一条极陡峭的感抗曲线,而振荡器又被限定在此频率范围内工作。该电抗曲线对频率有极大的变化速度,亦即石英晶体在这频率范围内具有极陡峭的相频特性曲线。所以它具有很高的稳频能力,或者说具有很高的电感补偿能力。因此选用c-b型皮尔斯电路进行制作。 图 6 工作电路 4.选择晶体管和石英晶体 根据设计要求,

LC振荡器

摘要 振荡器(英文:oscillator)是用来产生重复电子讯号(通常是正弦波或方波)的电子元件,能将直流电转换为具有一定频率交流电信号输出的电子电路或装置。其构成的电路叫振荡电路。其中,LC振荡器因其使用方便和灵活性大而得到广泛的应用。因此,了解LC振荡器电路的特性显得尤为重要。本次实验将讨论各个LC振荡电路各元件与反馈系数|F|、角频率w之间的关系。 关键词:LC振荡;MATLAB;反馈系数;频率

Abstract The oscillator is used to generate repeat electronic signal (usually a sine wave or square wave) of electronic components, can the DC conversion to electronic circuit or device with a certain frequency AC signal output. Constitute a circuit called the oscillation circuit. Among them, the LC oscillator because of its convenience and flexibility and has been widely applied. Therefore, to understand the characteristics of LC oscillator circuit is very important. This study will discuss the relationship between the various LC oscillation circuit components and feedback coefficient |F|, frequency . Keywords: LC oscillation; MATLAB; frequency feedback coefficient;

振荡器实验

第一章实验环节及要求 为了达到实验预期目的和效果,需要作好实验前的预习、实验过程、实验报告等几个主要环节。 一、实验预习(30分) 能否在规定的时间内完成实验内容,并达到预期的实验效果,很大程度上取决于实验前的预习和准备工作是否充分。因此每次实验前,需要阅读实验讲义,明确实验目的与任务,掌握必要的实验理论和方法,了解实验内容和所用设备的使用方法,在此基础上简要写出预习报告,内容包括: 1、实验名称 2、实验目的 3、实验原理(要求简明扼要) 4、实验电路图(原理图,交流等效图) 5、实验设备 6、完成预习思考题,预期实验结果 7、实验内容(只要求列出实验项目及记录数据的空白表格) 二、实验过程(40分) 正确的操作程序和良好的工作方法是实验顺利进行的保证。因此,实验时要求做到: 1、按编号入座,认真检查实验使用电子仪器设备的状况,若发现故障应报告指导教师 及时排除,以免耽误上课时间。 2、认真听取指导教师对实验的介绍。 3、根据实验电路的结构特点,按实验内容要求接线。接线完毕,要养成自查的习惯。 4、实验电路接好后,接入电源。要求实验前“先接实验电路,后接通电源”, 实验完毕后,“先断开电源,后拆实验电路”。 5、电路接通后,不要急于测定数据,先按实验预习时所预期的实验结果,概略地观察 全部现象及各仪表的读数变化范围。然后,逐项实验,测量时要有选择地读取几 组数据。读取数据时,要尽可能在仪器仪表的同一量程内读数,减少由于仪器仪 表量程不同而引起的误差。 6、若实验中要求绘制曲线,至少要读取10组数据,而且,在曲线弯曲部分应多读几 组数据,这样画出的曲线就比较平滑准确。 7、测量数据经自审无误后,送指导教师复核,经检查正确后才可拆掉电路,以免因数 据错误需要重新接线测量而花费不必要的时间。 8、实验结束后,应做好仪器设备和导线的整理以及实验台面的清洁工作,做到善始善 终。(10分) 三、实验报告(30分) 实验报告是实验工作的全面总结。对于工科学生来说,撰写实验报告是一种基本技能训练。通过写实验报告,能深化对技术基础理论的认识,提高理论的应用能力,提高处理实

压控振荡器

压控振荡器 3(15 压控振荡器 一. 实验目的 1. 了解压控振荡器的组成、工作原理。 2. 进一步掌握三角波、方波与压控振荡器之间的关系。 3. 掌握压控振荡器的基本参数指标及测试方法。 二. 设计原理 电压控制振荡器简称为压控振荡器,通常由VCO(Voltage Controlled Oscillator)表示。是一种将电平变换为相应频率的脉冲变换电路,或者说是输出脉冲频率与输入信号电平成比例的电路。它被广泛地应用在自动控制,自动测量与检测等技术领域。 压控振荡器的控制电压可以有不同的输入方式。如用直流电压作为控制电压,电路可制成频率调节十分方便的信号源;用正弦电压作为控制电压,电路就成为调频振荡器;而用锯齿电压作为控制电压,电路将成为扫频振荡器。 压控振荡器由控制部分、方波、三角波发生器组成框图如下: 反相器 1 模拟方波、三角波发生器三角波方波开关 反相器 2 3-15-1 1. 方波、三角波发生器 我们知道,方波的产生有很多种方法,而用运算放大器的非线性应用电路--- 电压比较器是一种产生方波的最简单的电路之一。而三角波可以通过方波信号积

分得到。电路如图3.15.2所示: C 8 RR3A1 A2 R2 R1R’Uz 3-15-2 8 设t=0,Uc=0,Uo1=+Uz,则Uo=-Uc=0,运放A1的同相端对地电压为: URURo2z1U+’= ,R,RR,R1212 此时,Uo1通过R向C恒流充电,Uc线性上升,Uo线性下降,则U+’下降,由于运放反相端接地,因此当U+’下降略小于0时,A1翻转,Uo1跳变为-Uz 见土 3.7.2中t=t1时的波形。根据式3.7.1可知,此时Uo略小于-R1×U2/R2。 在t=t1时,Uc=-Uo=R1×U2/R2,Uo1=-Uz.运放A1的同相端对地电压为: UzRUoR12U,',,, R,RR,R1212 此时,电容C恒流放电,Uc线性下降,Uo线性上升,则U+’也上升。当U+’上升到略大于0时,A1翻转,Uo跳变为Uz,如此周而复始,就可在Uo端输出幅 度为R1×U2/R2的三角波。同时在Uo1端得到幅度为Uz的方波。 T/2T/2 tt12 +(R/R)U12z

时基电路构成的压控振荡器

555时基电路构成的压控振荡器 摘要:555电路是集模拟电路和数字电路于一体的集成电路,是在上世纪70年代,为制作定时器而被设计制造的。该电路具有灵活的引出端脚,使用者尽用其能,将其广泛运用于电子行业的各个领域内,并且该电路在科研、仪表、测量、控制等诸多领域内也得到了广泛的应用。本文主要从原理和应用两个方面讲述由555无稳态多谐振荡器电路构成的压控振荡器。 关键词: 1、引言 如今,555时基电路得到如此广泛的应用,这得益于该电路本身独特的优越性。按照555电路的应用特点,以数字电路的分类方法作为基本方式,可将其分为:多谐振荡器的应用方式、单稳态电路的应用方式、双稳态(R-S触发器)电路的应用方式以及施密特电路的应用方式。本文要讨论的压控振荡器是一种结构特殊的多谐振荡器,全称为电压控制的多谐振荡器,简称VCO。由555电路构成的压控振荡器具有电路简单、成本低、产生脉冲波形的线性度好等特点,因此压控振荡器电路在锁相技术、A/D转换、脉冲调制及遥测技术中有广泛的用途,是一种十分重要的电路。. 2、555电路原理图]1[ 图1、原理电路图

整个原理电路图有5个部分组成,这5个部分可以分为三大部分进行解释:(1)分压器与比较器 三个等值电阻(每个5KΩ)串联进行分压,将电源电压分别分压为U CC/3和2U CC/3。其中2U CC/3加至电压比较器A1的同相输入端,作为它的参考电压;U CC/加之电压比较器A2的反相输入端,作为它的参考电压。A1、A2是由两个差分电路组成的电压比较器,相当于两个运算放大器的输入电路。这两个参考电压决定了555电路的输入特性。 上述原理电路图有两个输入端,分别称为触发端(TR、2脚)和阀值端(TH、6脚),它们分别是A2的同相输入端和A1的反相输入端。根据电压比较器的工作原理:当对输入端2脚上加上低于U CC/3的输入电压时,比较器A2输出低电平;当加上高于U CC/3的输入电压时,A2输出高电平。对于输入端6脚,当对其加上低于2U CC/3的输入电压时,A1输出高电平;当对其加上高于2U CC/3的输入电压时,A1输出低电平。 (2)基本R-S触发器]1[ 在数字电路中,触发器分为同步R-S触发器和基本R-S触发器,555电路中使用 是基本R-S触发器。这种触发器由两个非门交叉连接组成,它的特点是需要低电平触发,即只有在输入端加以低电平或负脉冲,触发器才能翻转。 它的逻辑功能是:当R=0,S=1时,不管触发器原来是什么状态,都会被置成低电平0的状态;当R=1,S=0时,触发器被置成高电平1的状态;当R=1,S=1时,触发器保持原状态不变;当R=0,S=0时,触发器的状态不定,不过这种状态是不允许出现的,也是不可能出现的。 (3)输出级]2[ 为了提高555电路带负载的能力,使其能够直接驱动一定功率的负载,并且隔离负载对定时器的影响,在它的R-S触发器之后加入了一级输出级G3。该输出级G3将R-S 触发器的输出电平进行反相,并同时给予一定的功率放大后输出,这就使得555电路可以直接驱动小型继电器、扬声器等。 (4)放电电子开关]3[ 在由555电路组成的定时定路及各类触发器和振荡器中,它们的工作状态都和电容器的充、放电有关。例如在定时电路中,通常把上比较器的输入端TH(6脚)接到只电容C的正极。这个电容又通过一只串联电阻R接到电源的正极。工作时,电源通过电阻R向电容C充电,当电容充电使其电压达到阀值电平后,比较器A1输出低电平,触发器R-S翻转,它的输出端变为高电平,经过一级反相器反相为低电平后作为一种控制信号输出,实现对电路的一种工作状态的控制。 ( 5 ) 555定时器的基本功能]4[ ①R=0,无论其他输入为何值(用×表示),必有Q=1,U O为低电平0,T D饱和导通,故R端称为置0端或复位端。 ②R=1,U TH>2U CC/3、U TR>U CC/3时,U O1为低电平,U O2为高电平,使Q=1、

高频电子线路实验正弦波振荡器

. 太原理工大学现代科技学院 高频电子线路课程实验报告 专业班级信息13-1 学号2013101269 姓名 指导教师孙颖

实验名称 正弦波振荡器(LC 振荡器和晶体振荡器) 专业班级 信息13-1 学号 2013100 姓名 0 成绩 实验2 正弦波振荡器(LC 振荡器和晶体振荡器) 2-1 正弦波振荡器的基本工作原理 振荡器是指在没有外加信号作用下的一种自动将直流电源的能量变换为一定的波形的交变振荡能量的装置。 正弦波振荡器在电子领域中有着广泛的应用。在信息传输系统的各种发射机中,就是把主振器(振荡器)所产生的载波,经过放大、调制而把信息发射出去。在超外差式的各种接收机中,是由振荡器产生的一个本地振荡信号,送入混频器,才能将高频信号变成中频信号。 振荡器的种类很多。从所采用的分析方法和振荡器的特性来看,可以把振荡器分为反馈式振荡器和负阻式振荡器两大类。我们只讨论反馈式振荡器。根据振荡器所产生的波形,又可以把振荡器氛围正弦波振荡器和非正弦波振荡器。我们只介绍正弦波振荡器。 常用正弦波振荡器主要是由决定振荡频率的选项网络和维持振荡的正反馈放大器组成,这就是反馈振荡器。按照选频网络所采用的元件不同,正弦波振荡器可以分为LC 振荡器、RC 振荡器和晶体振荡器等类型。 一、反馈型正弦波自激振荡器基本工作原理 以互感反馈振荡器为例,分析反馈型正弦自激振荡器的基本原理,其原理电路如图2-1所示; 当开关K 接“1”时,信号源Vb 加到晶体管输入端,这就是一个调谐放大器电路,集电极回路得到 ……………………………………装………………………………………订…………………………………………线………………………………………

压控振荡器原理和应用说明

压控振荡器(VCO) 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj随反向偏置电压VT变化而变化的特点(VT=0V时Cj是最大值,一般变容管VT落在2V-8V压间,Cj呈线性变化,VT在8-10V则一般为非线性变化,如图1所示,VT在10-20V时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO)。压控振荡器的调谐电压VT要针对所要求的产品类别及典型应用环境(例如用户提供调谐要求,在锁相环使用中泵源提供的输出控制电压范围等)来选择或设计,不同的压控振荡器,对调谐电压VT有不同的要求,一般而言,对调谐线性有较高要求者,VT选在1-10V,对宽频带调谐时,VT则多选择1-20V或1-24V。图1为变容二极管的V-C特性曲线。 (V) T 图1变容二极管的V-C特性曲线 三压控振荡器的基本参数 1 工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“MHz”或 “GHz”。 2 输出功率:在工作频段内输出功率标称值,用Po表示。通常单位为“dBmw”。 3 输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△P表示,通常 单位为“dBmw”。 4 调谐灵敏度:定义为调谐电压每变化1V时,引起振荡频率的变化量,用MHz/ △VT 表示,在线性区,灵敏度最高,在非线性区灵敏度降低。 5 谐波抑制:定义在测试频点,二次谐波抑制=10Log(P基波/P谐波)(dBmw)。 6 推频系数:定义为供电电压每变化1V时,引起的测试频点振荡频率的变化量,用MHz/V表示。 7 相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振f0为fm 的带内,各杂散能量的总和按fin平均值+15f0点频谱能量之比,单位为dBC/Hz;相位噪 声特点是频谱能量集中在f0附近,因此fm越小,相噪测量值就越大,目前测量相噪选定

LC振荡电路的工作原理及特点

简单介绍LC振荡电路的工作原理及特点 LC振荡电路,顾名思义就是用电感L和电容C组成的一个选频网络的振荡电路,这个振荡电路用来产生一种高频正弦波信号。常见的LC振荡电路有好多种,比如变压器反馈式、电感三点式及电容三点式,它们的选频网络一般都采用LC并联谐振回路。这种振荡电路的辐射功率跟振荡频率的四次方成正比,如果要想让这种电路向外辐射足够大的电磁波的话,就必须提高其振荡频率,而且还必须是电路具备开放的形式。 LC振荡电路之所以有振荡,是因为该电路通过运用电容跟电感的储能特性,使得电磁这两种能量在交替转化,简而言之,由于电能和磁能都有最大和最小值,所以才有了振荡。当然,这只是一个理想情况,现实中,所有的电子元件都有一些损耗,能量在电容和电感之间转化是会被损耗或者泄露到外部,导致能量不断减小。所以LC 振荡电路必须要有放大元件,这个放大元件可以是三极管,也可以是集成运放或者其他的东西。有了这个放大元件,这个不断被消耗的振荡信号就会被反馈放大,从而我们会得到一个幅值跟频率都比较稳定的信号。 开机瞬间产生的电扰动经三极管V组成的放大器放大,然后由LC选频回路从众多的频率中选出谐振频率F0。并通过线圈L1和L2之间的互感耦合把信号反馈至三极管基极。设基极的瞬间电压极性为正。经倒相集电压瞬时极性为负,按变压器同名端的符号可以看出,L2的上端电压极性为负,反馈回基极的电压极性为正,满足相位平衡条件,偏离F0的其它频率的信号因为附加相移而不满足相位平衡条件,只要三极管电流放大系数B和L1与L2的匝数比合适,满足振幅条件,就能产生频率F0的振荡信号。 LC振荡电路物理模型的满足条件 ①整个电路的电阻R=0(包括线圈、导线),从能量角度看没有其它形式的能向内能转化,即热损耗为零。 ②电感线圈L集中了全部电路的电感,电容器C集中了全部电路的电容,无潜布电容存在。 ③LC振荡电路在发生电磁振荡时不向外界空间辐射电磁波,是严格意义上的闭合电路,LC电路内部只发生线圈磁场能与电容器电场能之间的相互转化,即便是电容器内产生的变化电场,线圈内产生的变化磁场也没有按麦克斯韦的电磁场理论激发相应的磁场和电场,向周围空间辐射电磁波。 能产生大小和方向都随周期发生变化的电流叫振荡电流。能产生振荡电流的电路叫振荡电路。其中最简单的振荡电路叫LC回路。 振荡电流是一种交变电流,是一种频率很高的交变电流,它无法用线圈在磁场中转动产生,只能是由振荡电路产生。 充电完毕(放电开始):电场能达到最大,磁场能为零,回路中感应电流i=0。 放电完毕(充电开始):电场能为零,磁场能达到最大,回路中感应电流达到最大。 充电过程:电场能在增加,磁场能在减小,回路中电流在减小,电容器上电量在增加。从能量看:磁场能在向电场能转化。 放电过程:电场能在减少,磁场能在增加,回路中电流在增加,电容器上的电量在减少。从能量看:电场能在向磁场能转化。 在振荡电路中产生振荡电流的过程中,电容器极板上的电荷,通过线圈的电流,以及跟电流和电荷相联系的

压控振荡器(VCO)工作原理

3.15压控振荡器 一.实验目的 1.了解压控振荡器的组成、工作原理。 2.进一步掌握三角波、方波与压控振荡器之间的关系。 3.掌握压控振荡器的基本参数指标及测试方法。 二.设计原理 电压控制振荡器简称为压控振荡器,通常由VCO(V oltage Controlled Oscillator)表示。是一种将电平变换为相应频率的脉冲变换电路,或者说是输出脉冲频率与输入信号电平成比例的电路。它被广泛地应用在自动控制,自动测量与检测等技术领域。 压控振荡器的控制电压可以有不同的输入方式。如用直流电压作为控制电压,电路可制成频率调节十分方便的信号源;用正弦电压作为控制电压,电路就成为调频振荡器;而用锯齿电压作为控制电压,电路将成为扫频振荡器。 压控振荡器由控制部分、方波、三角波发生器组成框图如下: 反相器 1 反相器 2模 拟 开 关 方波、三角波发生器三角波方波 3-15-1 1.方波、三角波发生器 我们知道,方波的产生有很多种方法,而用运算放大器的非线性应用电路---电压比较器是一种产生方波的最简单的电路之一。而三角波可以通过方波信号积分得到。电路如图3.15.2所示: C 3-15-2

设t=0,Uc=0,Uo 1=+Uz,则Uo=-Uc=0,运放A 1的同相端对地电压为:U+’= 2 12211 R R R U R R R U o z +++ 此时,Uo 1通过R 向C 恒流充电,Uc 线性上升,Uo 线性下降,则U+’下降, 由于运放反相端接地,因此当U+’下降略小于0时,A 1翻转,Uo1跳变为-Uz 见土3.7.2中t=t 1时的波形。根据式3.7.1可知,此时Uo 略小于-R 1×U 2/R 2。 在t=t 1时,Uc=-Uo=R 1×U 2/R 2,Uo1=-Uz.运放A 1的同相端对地电压为: 2 12 211'R R UoR R R UzR U ++ ++ =+ 此时,电容C 恒流放电,Uc 线性下降,Uo 线性上升,则U+’也上升。当U+’ 上升到略大于0时,A 1翻转,Uo 跳变为Uz ,如此周而复始,就可在Uo 端输出幅度为R 1×U 2/R 2的三角波。同时在Uo 1端得到幅度为Uz 的方波。

实验2 振荡器实验

实验二振荡器 (A)三点式正弦波振荡器 一、实验目的 1.掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计算。 2.通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影响。 3.研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1.熟悉振荡器模块各元件及其作用。 2.进行LC振荡器波段工作研究。 3.研究LC振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4.测试LC振荡器的频率稳定度。 三、基本原理 图6-1 正弦波振荡器(4.5MHz) 【电路连接】将开关S2的1拨上2拨下,S1全部断开,由晶体管Q3和C13、C20、C10、CCI、L2构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI可用来改变振

荡频率。振荡频率可调范围为: () () 3.979925 4.70795 M CCI p f M CCI p = ? ? ==? ?= ? 调节电容CCI,使振荡器的频率约为4.5MHz 。 振荡电路反馈系数: F=12 .0 470 56 20 13≈ = C C 振荡器输出通过耦合电容C3(10P)加到由Q2组成的射极跟随器的输入端,因C3容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号Q1调谐放大,再经变压器耦合从J1输出。 四、实验步骤 根据图6-1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 1. 调整静态工作点,观察振荡情况。 1)将开关S2全拨下,S1全拨下,使振荡电路停振 调节上偏置电位器R A1,用数字万用表测量R10两端的静态直流电压U EQ(即测量振荡管的发射极对地电压U EQ),使其为5.0V(或稍小,以振荡信号不失真为准),这时表明振荡管的静态工作点电流I EQ=5.0mA(即调节W1使I EQ=I CQ=U EQ/R10=5.0mA )。 2)将开关S2的1拨上,S1全拨下,构成LC振荡器。 振荡器应能正常工作。若振荡器工作正常,则在输出端用示波器可观察到正弦振荡电压波形,同时发射极的直流电流也将偏离停振时测得的I EQ。可用示波器在输出端观察振荡波形,调节电容CCI使振荡频率约为4.5MHz;在R10两端用数字万用表测量起振后的直流电压U Q,记录并比较U Q和U EQ。 2. 研究振荡器静态工作点对振荡幅度的影响。 1)按照“内容1”,先使振荡电路停振,调整上偏置电位器R A1,使I EQ=1mA; 2)按照“内容1”,使振荡电路正常工作,用示波器测量对应点的振荡幅度V P-P(峰—峰值),记下对应峰峰值V L。(如果出现不起振或临近失真,适当增大I EQ) 3)重复步骤1)和2),使I CQ在I min和I max范围之间取平均的几个值( 一般取I CQ=1~5mA 为宜),分别记下对应的峰峰值V L,填入表2-2。 4)作出I EQ~V L曲线,分析输出振荡电压和振荡管静态工作点的关系。

实验五-三点正弦振荡电路

三点式正弦波振荡器 一、实验目的 1、掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计算。 2、通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影响。 3、研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1、熟悉振荡器模块各元件及其作用。 2、进行LC振荡器波段工作研究。 3、研究LC振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4、测试LC振荡器的频率稳定度。 三、实验仪器 1、模块3 1块 2、频率计模块1块 3、双踪示波器1台 4、万用表1块 四、基本原理 将开关S1 的1 拨下2 拨上,S2 全部断开,由晶体管N1 和C3、C10、C11、C4、CC1、L1 构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI 可用来改变振荡频率。

振荡器的频率约为4.5MHz(计算振荡频率可调范围) 振荡电路反馈系数 振荡器输出通过耦合电容C5(10P)加到由N2组成的射极跟随器的输入端,因C5容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号经N3调谐放大,再经变压器耦合从P1输出。 五、实验步骤 1、根据图5-1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 2、研究振荡器静态工作点对振荡幅度的影响。 1)将开关S1拨为“01”,S2拨为“00”,构成LC振荡器。 2)改变上偏置电位器W1,记下N1发射极电流Ieo(=Ve/R11 ,R11=1K)(将万用表红表笔接TP2,黑表笔接地测量VE),并用示波测量对应点TP4的振荡幅度VP-P,填于表5-1中,分析输出振荡电压和振荡管静态工作点的关系。 表5-1 分析思路:静态电流ICQ会影响晶体管跨导gm,而放大倍数和gm是有关系的。在饱和状态下(ICQ过大),管子电压增益AV会下降,一般取ICQ=(1~5mA)为宜。 3、测量振荡器输出频率范围 将频率计接于P1处,改变CC1,用示波器从TP8观察波形及输出频率的变化情况,记录最高频 六、实验报告

LC压控振荡器课程设计(含程序)

LC压控振荡器课程设计(含程序)武汉理工大学《学科基础课群课设》 摘要 本设计是一个功能完善,性能优良的高频VCO(Voltage Control Oscillation)。主 振器由分立元件组成。电压对频率的控制是通过变容二极管来实现的。即通过改变变容 二极管的反向压降,从而改变变容二极管的结电容,继而改变振荡频率。系统的输出频 ,3率范围为10MHz—40MHz。频率稳定度在以上。设计以单片机为控制核心,实现频10 率和电压值的实时测量及显示并控制频率步进,步进有粗调和细调的功能。粗调可实现 较大步进值调节,是调可实现较小步进值调节。该功能使得频率的准确定位十分方便。 本电路在调频部分为提高输出频率精度,采用单片机控制主振器参数,根据产生不同的 频率范围控制不同的主振器参数而达到提高精度和稳定度的目的。为了高频信号的良好 传输,本设计的部分电路板采用了人工刻板使得本设计更加特色鲜明,性能优良。 关键字:VCO 单片机变容二极管 ADC0804 Abstract

This design is a high frequency VCO with comprehensive and perfect function. The main vibrator is made up of several separable components. Voltage control on the frequency is realized by way of varicap diode. That, changing the reverse voltage of diode can adjust the frequency. The frequency of the apparatus can output from 10MHz to 40MHz, and its I 武汉理工大学《学科基础课群课设》 ,3frequency stability can reach .This design uses a single-chip as control core to measure 10 and display the frequency and voltage and regulate frequency. The frequency adjustment includes two procedures -approximate adjusting and slight adjusting, The slight adjusting can realize the precise frequency output. In order to change the precision of frequency to output, the circuit control the main vibrator with a single-chip. In order go gain what we to. we can change the different parameters of the main vibrator. In addition, Some part of the design wield arterial pattern plate. It nape the circuit mare perfect. Key words: VCO MCU DIODE ADC0804 目录 1. 系统设计 (1) 1.1 设计要求 (1)

相关文档
最新文档