数字逻辑课程设计实验报告

数字逻辑课程设计实验报告
数字逻辑课程设计实验报告

数字逻辑课程设计实验报告

课程名称:数字逻辑课程设计

姓名:

学院:计算机科学与技术

院系:计算机科学与技术

专业:计算机科学与技术

年级:

学号:

指导教师:

2009年9月10日

一.实验目的

通过硬件描述语言VHDL的编程,深入了解并掌握可编程芯片PLD的设计技术,加强学生对《数字逻辑》课程所学只是综合运用的能力。培养学生创造性思维能力和独立解决实际问题的能力。

二.实验内容

1.利用VHDL语言完成实现红外线数据传输系统的设计任务,然后进行编译和仿

真,保证设计的正确性.

2.生成熔丝图文件,下载到ispLSI1032芯片,通过实际线路进行验证.

红外线数据传输系统的具体设计要求如下:

a.通过开关K1-K8设定要传送的8bits信息,再通过置数按钮存放在系统内部,

生成校验码同时送校验码指示灯显示。置数按钮在系统发送信息期间无效。

b.按发送按钮进入发送态,发送灯亮,系统先发送最高位,通过寄存器循环一

位再连续发送其他位,此时3个数码管上的信息也随着改变,最后还要传送一个校验码。每按一次发送按钮,系统就发送一次信息。

c.接收端也是采用移位方式存入信息,在接受第一位时寄存器先清零。接收时

数码管上的信息随着寄存器内容的变化而变化,接受到校验码后立即设置校验码指示灯,同时按偶校验判定接受是否正确,将结果在接受错指示灯上显示。

d.传输的过程中,接收端的校验指示灯熄灭。当接收端接收0个数据后(1位

校验码和8位数据位),接收端根据相应的校验方式来判断所接收的数据是否正确。接收端的校验指示灯亮,表示传输的数据正确;校验指示灯熄灭,表示传输的数据不正确。

三.实验环境介绍

1.软件平台介绍

本次实验采用ISP(在系统编程)技术。在系统编程技术(In-System Programmabile)技术是Lattice公司率先提出的一种先进的编程技术,是指对器件、电路板或整个逻辑系统的电子功能课随时进行修改或重构,这种修改或重构可以在产品设计、制造过程中的每个环节,甚至在交付用户之后进行。采用了

isp技术之后,硬件设计就可以变得像软件那样灵活而且易于修改。这不仅扩展了器件的用途,缩短了系统的调试周期,也给目标设备的现场升级和维护工作带来了极大的方便。

DICE-SEM型实验箱可支持Lattice公司的CPLD芯片ispLSI1032,目前实验室提供的开发系统为ispLever5.0。ispLEVER是Lattice公司推出的EDA软件,适用于所有Lattice公司的CPLD、SPLD、FPGA和FPSC可编程器件的设计,其设计可采用原理图、硬件描述语言以及混合输入三种方式,并能够对所设计的系统进行功能仿真和时序仿真。

2.硬件平台介绍

本次实验采用DICE-SEM型实验箱。DICE-SEM型实验箱是用于《数字逻辑》课程教学实验的专用设备。它提供了16位二档开关、16位发光二极管双色显示灯、IC插座、六个七段BCD码译码显示数码管、数字频率计、电子笔、可调电阻、模拟扩展区、PLD/CPLD扩展区等,另外还有一个Lattice 1016E芯片提供了2个单脉冲开关、节拍信号、多种频率的时钟信号源等方面的功能。CPLD扩展区包含了一个CPLD下载板、2个针式扩展插座,下载板上有一片CPLD芯片Lattice ispLSI1032E和JTAG下载接口插座。实验箱电源方面采用220V交流供电,在面板上提供了多个+5V和地的电源接线插孔。

本次实验主要用到可编程的ispLSI1032E芯片。

四.实验设计方案

1.设计方案说明

红外线传输系统包括发送方和接受方两端,都可以单独进行初始化清零处理。在发送端可以设置准备发送的8bits的数据信息,连同一个奇偶校验位一起发送。接收端接受到8bits的数据信息和一位奇偶校验信息后,显示收到的数据信息和校验信息,并根据偶校验判定接收到的信息是否出错。实验时若无红外发送接收元件则可以用一根导线连接两端暂时代替。

2.程序模块图

整体模块设计图如下:

由于发送端和接收端可能属于两个独立的设备,即各自产生自己的工作CP,因此如何提高可靠性就成为设计时的一个关键问题,而两个CP也只能是相对接

近,系统允许的误差越大,系统越可靠.在这里采用的策略是在工作CP的基础上分频以提高可靠性,如图中CP1和CP2都是采取在CP基础上16分频后的波形.可以设计为当计数器1记到8时CP1变为高电平,当计数器3记到8时CP2变为高电平。

3.程序流程图

a.发送模块流程图:

发送模块使用两个计数器,计数器1用来对外接CP进行16分频产生时钟CP1,在clear后进入“准备好态”可以置高set来置数,然后置高start使信号sending置1,进入固定高电平状态准备传输。在此固定高电平时间段内,一旦出现低电平则接收端认为是噪音,不予接收。当计数器2在固定高电平状态从0011计数到0110后状态变为发送有效数据状态,开始发送置数寄存器获得的数据。发送过程中寄存器循环左移,发送8位后计数器2到1111状态,发送校验码信息,发送完后回到出示的“准备好态”,等待再次操作。发送方置数只能在“准备好态”进行。

各标识符含义:

clr:清零标志

set:置数标志

start:按发送按钮后进入“固定高电平态”

reg:buffer std_logic_vector (7 downto 0):八位寄存器位

count1:std_logic_vector(3 downto 0):计数器1,对CP分频产生cp1

cp1:计数器2的时钟

count2:std_logic_vector(3 downto 0):计数器2,控制固定高电平态及发送计数

sending:发送状态标志,初始为0,开始发送后为1,发送完毕后置0 sendbit:发送位,在固定高电平段为1,在发送有效数据态时为发送位电平fengming:标志是否成功发送的蜂鸣

clk1000:频率为1000HZ的时钟

b.接收模块流程图:

接受模块的设计基本和发送端基本相同。用计数器1对外接CP进行16分频产生时钟cp2,clear可以实现寄存器清零操作。接收到高电平后计数器2开始计数,大于0110后接收到的字节依次移位存入寄存器中。收到最后一位校验码后对接收到的数据作偶校验后根据校验结果显示“接受错”和蜂鸣。

各标识符含义:

clr:清零标志

reg:buffer std_logic_vector (7 downto 0):八位寄存器位

count1:std_logic_vector(3 downto 0):计数器1,对CP分频产生CP1

cp2:计数器2的时钟

count2:std_logic_vector(3 downto 0):计数器2,控制固定高电平态及接受位计数

sending:发送状态标志,初始为0,开始发送后为1

recbit:接收位,初始为0,在固定高电平段接收到1,在发送有效数据态时为发送位电平

recwrong:接受错误标志。初始为0,为1时表示接受错。

checkcode:校验位。初始为0,传输后为接收到的校验位。

fengming:标志是否成功发送的蜂鸣,接受正确时接clk1000,错误接clk500 clk500:频率为500HZ的时钟

clk1000:频率为1000HZ的时钟

五.实验步骤和观察记录

基本实验步骤:

1.根据实验要求编写VHDL程序,完成进程的设计和题目的要求。

2.编译成功后作出波形图进行仿真,通过仿真结果对源程序进行修改直至仿真结果正确。

3.对文本文件进行编译产生熔丝图文件。

4.设置引脚绑定。

5.将PC机和JTAG接口通过电缆相连,把熔丝图文件下载到芯片中。

6.按绑定的引脚连线,接好后开始实验验证。

实验观察记录:

1.发送位置成254,即“10 101 100”,先清零clr,再置数set,然后开始start,观察到发送校验码为0,当发送指示灯经过一段固定高电平态后开始发送有效数据,发送数码管和接收数码管依次经过254 132 252 145 312 225 053 126 254,传输完成后接收校验位为0,接受错标志为0,发送端蜂鸣为clk1000,接收端蜂鸣为clk1000。

2.发送位置成133,即“01 011 011”,先清零clr,再置数set,然后开始start,观察到发送校验码为1,当发送指示灯经过一段固定高电平态后开始发送有效数据,发送数码管和接收数码管依次经过133 266 155 332 265 153 326 255 133,传输完成后接收校验位为1,接受错标志为0,发送端蜂鸣为clk1000,接收端蜂鸣为clk1000。

3.发送位置成133,即“01 011 011”,先清零clr,再置数set,然后开始start,观察到发送校验码为1,当发送指示灯处在固定高电平态的过程中将发送位给一个低电平干扰,观察到接收端没有接收,蜂鸣和接收数码管皆无变化。

4.发送位置成133,即“01 011 011”,先清零clr,再置数set,然后开始start,观察到发送校验码为1,当发送指示灯经过一段固定高电平态后开始发送有效数据,在发送有效数据位的过程中给干扰,若干扰成功,可观察到报错灯亮,蜂鸣显示clk500。

六.对实验过程的分析、处理及讨论

实验过程中,在clear置高后,程序执行寄存器清零操作以及各标志位的初

始化操作,发送端的计数器1用来产生CP1,通过发送位先发送一段固定高电平态来实现接收端和发送端的同步。在接收端接收到发送位的高电平后接收端的计数器1开始计数。这样就实现了同步。在同步开始计数后如果接收端在此固定高电平态中接收到了低电平信号,则接收端认为是噪声,返回初态,不予接收。实验程序设定的固定高电平态长度为3个半左右CP1周期,此间计数器2由0011计数到0110,当计数到0111时发送第一位数据,由于接收端的计数器与发送端计数器设计相同,故而在此时可以同步接受数据。在发送8位有效数据后即经过8个CP2周期后,计数器2为1111时发送一位校验码位,然后一次发送结束。回到初态等待下一次发送指令。

通过设计发送端和接收端的计数器1,在cp的基础上16分频后用于发送位的计数,这样扩大了时钟周期,也就增大了系统允许的误差,是一种增加系统可靠性的设计。

通过设计在发送有效数据前先发送一段固定高电平,不仅可以实现发送端和接收端的同步问题,而且在此期间如果接收端一旦接受到低电平,可以判定为噪音,这种设计可以提高系统的抗干扰性。

七.实验方案的改进意见

根据实验测试的结果,本次实验设计的结果能够正确完成传输任务,能正确显示校验码和报错功能以及蜂鸣,以及具有一定的报错功能,比较好的完成了实验任务,但仍存在一些不足:

1.由于是用VHDL语言编写,有些语句的使用会直接增加实现电路的逻辑门的数量,这时我们所不希望的,所以在优化程序方面,应尽量做到心中有原理图有电路,这样对程序进行优化后可以减少需要的逻辑门的数量。

2.如果能设置一个按钮,自动完成清零-置数-开始,这样传输的外部控制更简单,更有利于高效地完成传输功能。

八.心得体会

这次数电程序设计实验可以说获益非浅,首先最深的感受是:哇,我们学的知识终于可以做出点东西来了!我们都已经大三了,说实话一直感觉课本知识按照老师说都是基础,一定要好好掌握,我们也照做了,我们考了个80,90多分。可是我们还是感到一种心虚,其实个人觉得好的学习应该在不断的有成就当中进行,当用自己所学的知道完成了任务的时候,那是一种成就感,是对自己学习的肯定,就会给自己带来无限的信心和继续学习的热情,我觉得不断地去实践并取得一定成果,这样学习是最好的。这次数电实验给了我们一次真正展现自己能力的机会,我通过自己的不断努力,我也终于成功了!

第二点感受颇深的是自己发现课本上学的东西真的是纸上谈兵。我们只能从书本上的字里行间看到说电路中会存在竞争存在险象,可是我们根本就没有办法真正体会到其重要性和如何预防等措施。这次数电实验,通过自己动手,自己发现问题,我看到了竞争并解决了竞争。我通过加长导线的办法成功避免了一处竞争,当我成功的时候,我发现实验的重要性。还有个问题是越到后来,接线和芯片使用多起来,电路就难免变的不稳定,查起问题来又不方便,何况不是错误是不稳定问题,这些问题在课堂上只听老师讲讲是完全体会不到的。但我知道我都做到这个地步了,我不能放弃,好多次我自己很是烦躁,直接去吃饭或者不去做实验来逃避问题,但我清醒的知道问题还是要解决的,我鼓起勇气,耐着性子,面对强大的困难,我不再退缩,我从检查线路开始,到检查芯片,到检查导线(有一次导线坏了的问题还真是存在),和我的搭档不懈的努力,终于问题还是迎刃而解。我知道没有解决不了的问题,只有有信心,只有有勇气,只要有耐心,没有战胜不了的拦路虎!!!!我衷心的感谢这次数电实验,给我的启发是巨大的,给我的收获是无限的。

附录:VHDL源码

--send.vhd

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity send is

port(input:in std_logic_vector(7 downto 0);

cp,clr,set,start,clk1000:in std_logic;

reg:buffer std_logic_vector(7 downto 0);

sending:buffer std_logic;

sendbit,check,fengming:out std_logic);

end send;

architecture fasong of send is

signal count2:std_logic_vector(3 downto 0);

signal cp1:std_logic;

begin

count1:process(cp,clr,start)

variable count1:std_logic_vector(3 downto 0);

variable m:std_logic;

begin

if clr='1' then

count1:="0000";

m:='0';

elsif start'event and start='1' and m='0' then

m:='1';

elsif cp'event and cp='1' and m='1' then

if count1="1111" then

count1:="0000";

else

count1:=n+1;

end if;

end if;

if count1<8 then

cp1<='0';

else

cp1<='1';

end if;

end process;

count2:process(cp1,clr,start)

begin

if clr='1' then

count2<="0011";

sending<='0';

elsif start'event and start='1' and sending='0' then sending<='1';

elsif cp1'event and cp1='1' and sending='1' then

count2<="0011";

sending<='0';

else

count2<=count2+1;

end if;

end if;

end process;

shift8:process(cp1,clr,k,sending,count2)

variable checkcode,t:std_logic;

begin

if clr='1' then

reg<="00000000";

checkcode:='0';

sendbit<='0';

elsif sending='0' then

if set='1' then

reg(7 downto 0)<=input(7 downto 0);

checkcode:=k(0) XOR k(1);

l1:for i in 2 to 7 loop

checkcode:=checkcode XOR k(i);

end loop l1;

check<=checkcode;

end if;

sendbit<='0';

elsif sending='1' then

if count2<"0110" then

sendbit<='1';

elsif cp1'event and cp1='1' and count2>"0101" and count2<"1110" then t:=reg(7);

l2:for i in 6 downto 0 loop

reg(i+1)<=reg(i);

end loop l2;

reg(0)<=t;

sendbit<=t;

elsif cp1'event and cp1='1' and count2="1110" then

sendbit<=checkcode;

end if;

end if;

end process;

p1:process(clk1000,count2)

begin

fengming<=clk1000;

elsif count2="0100" then

fengming<='0';

end if;

end process;

end fasong;

--receive.vhd

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity receive is

port(cp,clr,recbit,clk1000:in std_logic;

reg:buffer std_logic_vector(7 downto 0); check,recwrong:buffer std_logic;

fengming:out std_logic);

end receive;

architecture jieshou of receive is

signal count2:std_logic_vector(3 downto 0);

signal cp2,clk500:std_logic;

begin

count3:process(cp,clr,recbit)

variable count1:std_logic_vector(3 downto 0);

variable m:std_logic;

begin

if clr='1' then

count1:="0000";

m:='0';

elsif recbit'event and recbit='1' and m='0' then m:='1';

elsif cp'event and cp='1' and m='1' then

if count1="1111" then

count1:="0000";

else

count1:=count1+1;

end if;

end if;

if count1<8 then

cp2<='0';

else

cp2<='1';

end if;

end process;

count4:process(cp2,clr,recbit)

begin

if clr='1' then

count2<="0011";

elsif count2>="0011" and count2<="0110" then

if cp2'event and cp2='1' then

if regbit='0' then

count2<="0011";

else

count2<=count2+1;

end if;

end if;

elsif count2>="0111" and cp2'event and cp2='1' then if count2="1111" then

count2<="0011";

else

count2<=count2+1;

end if;

end if;

end process;

shift8:process(cp2,clr,recbit,count2)

variable checkcode:std_logic;

begin

if clr='1' then

reg<="00000000";

checkcode:='0';

check<='0';

recwrong<='0';

elsif cp2'event and cp2='0' then

if count2>"0110" and count2<"1111" then

l1:for i in 6 downto 0 loop

reg(i+1)<=reg(i);

end loop l1;

reg(0)<=recbit;

elsif count2="1111" then

checkcode:=recbit;

check<=recbit;

l2:for i in 0 to 7 loop

checkcode:=checkcode XOR reg(i);

end loop l2;

if checkcode='1' then

recwrong<='1';

end if;

end if;

end if;

end process;

p2:process(clk1000)

variable n:std_logic;

begin

if clk1000'event and clk1000='0' then

if n='1' then

n:='0';

else n:='1';

end if;

end if;

if n='0' then

clk500<=clk1000;

else clk500<='0';

end if;

end process;

p1:process(clk1000,clk500,count2,check) variable a:std_logic;

begin

if clr='1' then

a:='0';

fengming<='0';

elsif count2="1111" then a:='1';

elsif count2>="0100" and count2<"1110" then fengming<='0';

elsif count2="0011" and a='1' then

if check='1' then fengming<=clk500;

else fengming<=clk1000;

end if;

end if;

end process;

end jieshou;

数电课程设计心得

数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.回顾起此次课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在短短的两个星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次数电课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做数电课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 这次数电课程设计终于顺利完成了,在设计中遇到了很多问题,最后在王老师的辛勤指导下,终于游逆而解。同时,在王老师的身上我学得到很多实用的知识。总体来说,这次实习我受益匪浅.在摸索该如何设计程序使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力.在让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦和快乐. 这次数电课程设计,虽然短暂但是让我得到多方面的提高:1、提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步。加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件的了解。另外,我们还更加充分的认识到,数字电路这门课程在科学发展中的至关重要性2,查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,但由于时间和资料有限我们更多的还是独立思考。3,相互讨论共同研究也是很重要的,经常出现一些问题,比如电路设计中的分频器的设计,开始并不理解分频器的原理,但是和其他的专业同学讨论后,理解了分频器的基本原理后,很快的设计了电路原理图。

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

数字逻辑课程设计方案智力竞赛抢答器逻辑电路设计方案

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告 设计题目智力竞赛抢答器逻辑电路设计指导教师戚桂美职称讲师 姓名*** 学号2009******* 日期2011/7/12

智力竞赛抢答器逻辑电路设计 计算机与信息工程学院 2009级 2009******* 指导教师戚桂美讲师 摘要设计一个可以容纳4名选手或4个代表队比赛的抢答器。设置一个系统清除和抢答控制开关S,该开关由主持人控制。抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 关键字抢答器电路图 74LS74 1引言 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们增加些科学知识和生活常识。 在进行智力竞赛抢答时,各参赛者考虑好后都想抢先答题。如果没有合适的设备,有时难以分清它们的先后,是主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,来做一个公正的裁判员。称之为智力竞赛抢答器。 2设计任务及主要技术指标和要求 2.1 主要的设计指标和要求 主持人没有宣布抢答开始时,抢答不起作用;在主持人宣布抢答开始后,可以进行抢答。它的任务是从若干名参与者中确定出最先的抢答者,立即将其编号锁存,并在LED数码管上显示选手的编号,同时用声和光提示。此外,封锁输入电路,禁止其他选手抢答,优先抢答选选手的编号一直保存到主持人将系统清零为止。为此我们小组决定就这次机会设计一个低成本但又能满足需要的四路智力竞赛抢答器。

2.2 设计任务和要求 (1) 设计一个可以容纳4名选手或4个代表队比赛的抢答器。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 3工作原理 接通电源后: 主持人的开关拨到“清除”状态,此时抢答器处于禁止状态,编号显示器处于“0”;主持人将开关设置为“开始”状态,并宣布“开始抢答”,此时抢答器开始工作。 当参加智力竞赛的选手摁下手中的抢答器时,蜂鸣器和LED数码管会用声和光提示,并显示该小组的编号。 只有最先抢答者的编号才能被锁存,并在LED数码管上显示选手的编号,同时用声和光提示。 由以上两个条件可以想到: 用D触发器来实现,D触发器是一个输出跟输入一样的触发器。 将主持人的开关和D触发器的清零端相连。 D触发器是一个在CP脉冲上升沿时反转的触发器。所以只要有一个抢答器输出为1时,就让所有抢答器的脉冲没有上升沿,这样就轻而易举的让其他小组的抢答无效了。 蜂鸣器和LED数码管的提示延续到主持人清零为止,不能在变。 当一轮抢答结束后,主持人将其清零,准备下一轮抢答。

数字逻辑课程设计课案教学总结

数字逻辑设计课程设计指导书 适用专业:计算机大类 湖北工业大学 计算机学院 2016年11月

目录 一、课程设计目的 (1) 二、课程设计要求 (1) 三、课程设计内容 (1) 四、设计报告的内容和要求 (3) 五、课程设计考核方法 (3) 附录一自选课题参考题目 (4) 一、数码管显示控制器 (4) 二、乒乓球游戏机 (4) 三、智力竞赛抢答器 (4) 四、数字钟 (4) 五、交通灯控制器 (5) 六、双钮电子锁 (5) 七、彩灯控制器 (5) 八、速度表 (5) 九、出租车计价器 (6) 十、自动奏乐器一 (6) 十一、自动奏乐器二 (6) 十二、自动打铃器 (6) 十三、算术运算单元ALU的设计 (7) 十四、游戏机 (7) 十五、16路数显报警器 (7) 十六、脉冲按键电话按键显示器 (7) 十七、病房呼叫系统 (8) 十八、自动电子钟 (8) 十九、具有数字显示的洗衣机时控电路 (8) 二十、篮球比赛数字计分牌 (8) 二十一、电子日历 (9) 二十二、设计模拟中央人民广播电台报时电路 (9) 二十三、数字跑表 (9) 二十四、汽车尾灯控制器 (9) 二十五、篮球竞赛30秒计时器 (9) 二十六、拔河游戏机控制器 (10) 附录二TTL集成电路型号命名规则 (11) 附录三部分TTL集成电路管脚排列图 (14)

一、课程设计目的 《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。 课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 二、课程设计要求 (一)教学要求 1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。 2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。 3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。(二)能力培养要求 1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 4.综合应用课程中学到的理论知识去独立完成一个设计任务。 5.培养严肃认真的工作作风和严谨的科学态度。 三、课程设计内容 从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。 1.数码管显示控制器

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑课程设计 多功能数字钟 班级: 学号: 课程设计人: 指导老师: 课题: 完成时间:

一、设计目的: 学会应用数字系统设计方法进行电路设计,熟练地运用汇编语言。 二、设计任务及要求: 1.记时、记分、记秒 2.校时、校分、秒清0 3.整点报时 4.时间正常显示 5.闹时功能 三、设计思路: 将整个闹钟分为以下几个模块,每个模块中都有详细的各部分的设计思路,源代码及仿真图像,生成的器件。 1.计时模块 计小时:24进制计数器 计分、计秒:60进制计数器 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号。 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。 计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 二十四进制计数器代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port (clk:in std_logic; qh,ql:out std_logic_vector(3 downto 0)); end cnt24; architecture behave of cnt24 is signal q1,q0:std_logic_vector(3 downto 0); begin process(clk) begin if(clk'event and clk='1')then if(q1="0010" and q0="0011")then q1<="0000";q0<="0000"; elsif(q0="1001")then q0<="0000";q1<=q1+'1'; else q0<=q0+'1'; end if; end if; qh<=q1; ql<=q0;

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

课程设计-数字逻辑课程设计

数字电路课程设计(学年设计、学年论文)任务书 学院计算机与信息工程学院专业网络工程 课程名称数字电路题目四路彩灯显示电路系统 的设计 完成期限自2013年12月1日至2014年1月5日共5周 内容及任务一、项目的目的 (1)加深对电子线路的基本知识的了解和对电路的构建; (2)通过对问题的分析,选取相关的原件,系统设计,把实际问题转化为仿真电路问题; (3)提高团队的合作能力和动手能力; 二、项目任务的主要内容和要求 (1)设计四路彩灯显示电路,接通电源后,彩灯可以自动按预先设置闪烁; (2)设置的彩灯花型由三个节拍组成 第一节拍:从左向右逐次渐亮,灯亮时间1S共用4S. 第二节拍:四路彩灯从右向左逐次渐灭,也需4S。 第三节拍:四路彩灯同时亮0.5秒,然后同时变暗,进行四次,所需时间也是四秒。 (3)三个节拍完成一个循环,一共需要12S。一次循环之后重复进行闪烁。 三、项目设计(研究)思路 系统主要包括脉冲源、分频器、节拍控制器等,脉冲源采用秒脉冲发生器,用以提供频率为1Hz的时钟信号;分频器将1Hz的时钟信号四分频,用以产生0.25Hz(即4S)的时钟信号;节拍控制器产生三个节拍循环的控制信号;节拍程序执行器完成在每个节拍下的系统动作,即数据的左移、右移和送数功能,可以使用双向通用移位寄存器74LS194完成;显示电路完成系统循环演示的指示,可以用发光二极管模拟。 四、具体成果形式和要求 具体成果:四路彩灯显示电路系统和设计文档;

其要求:设计思路清晰,给出整体设计框图;设计个单元电路,给出具体设计思路、电路器件。 进度安排 起止日期工作内容 12.1-12.10 选题,组员分工,明确任务,查找相关资料。 12.11-12.20 绘制电路原理图。 12.21-12.30 运行、调试。 12.31-1.3 编写课程设计报告、答辩。 主要参考资料[1]康华光主编.电子技术基础(数字部分)第五版.高等教育出版社。 [2]康华光主编.电子技术基础(模拟部分)第五版.高等教育出版社。 [3]蔡惟铮《数字电子线路基础》[M]哈尔滨,哈尔滨工业大学出版社。 [4]吕思忠《数字电路实验与课程设计》哈尔滨工程大学出版社。 [5]谢自美《电子线路设计》华中理工大学出版社。 指导教师 意见 (签字):×年×月×日 系(教研室) 主任意见 (签字):×年×月×日

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

数字逻辑课程设计-数字时钟

数字逻辑课程设计实验报告 题目数字钟 姓名桂大有 班级网络工程103班 学号109074360 指导教师陆勤 完成日期2012年5月21日

数字钟的设计 1.数字钟的功能描述 (1)计时和显示功能 采用24小时计时并以十进制数字显示时、分、秒(时从00-23,分、秒从00-59)。 (2)校对动能 当数字时钟走的有偏差时,应能够手动校时。 2.数字钟的设计思路 根据功能要求,整个数字时钟分为计时和校时两大部分。 计时部分秒计时电路接收1Hz时基信号,进行60进制计数,计满后秒值归0,并产生1/60Hz时钟信号;分钟计时电路接受1/60Hz时钟信号,进行60进制计数,计满后分钟值归0,并产生1/3600Hz时钟信号,小时计时电路接收1/3600Hz时钟信号,进行24小时计数,计满后小时、分、秒皆归0,如此循环往复。 校时部分,采用两个瞬态按键配合实现,1号键产生单脉冲,控制数字钟在计时/校时/校分/校秒四种状态间切换,2号键通过控制计数使能端让时/分/秒计数器发生状态翻转以达到指定的数值。 3.系统功能模块介绍 Ⅰ.模块一:数字钟总体原理电路。 其中包含:(1)分钟、秒计时电路(2)小时计时电路(3)计时/校时的切换

Ⅱ.采用原理图和HDL混合设计方式实现数字钟 ①分钟、秒计时电路 分钟、秒计时需要60进制计数,其电路图如下所示: 该电路图用两片74160采用同步连接构成60进制计数器,通过译码电路识别稳态“59”,输出低电平使计数器置数为0。整个技术循环为00—>01—>02—>…—>58—>59—>00—>…,共有60个稳定状态。计数值采用BCD码形式,Q7~Q4表示分钟或秒的十位,Q3~Q0表示分钟或秒的各位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,,而在校时状态时接收校时脉冲用于控制小时值的翻转。计满进位输出端CO用于触发高一级计数器的技术动作。 ②小时计时电路(采用24时制,电路图如下所示)

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

数字逻辑课程设计报告(猜数字游戏)

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:猜数字游戏的设计 院部:计算机与信息工程 专业:网络工程 组别:第五组 起止日期: 2012年5月28日~2012年6月15日 指导教师:张永定 计算机与信息工程学院二○一二年 、

课程设计任务书 课程设计题目猜数字游戏的设计 组长严盼盼学号2011211329 班级网工112班院部计算机与信息工程专业网络工程 组员武倩闫静周晶晶夏艾 指导教师张永定 课程设计目的1.设计一个具有用保存用来进行比较的数据,输入新的数据通过比较器与保存的数据进行比较,用计数器进行计数统计功能的电路。 2.通过此次实验加深对所学数电知识的运用。 3.锻炼Multisim 10的仿真能力和硬件调试能力。 课程设计所需环境硬件环境:微型计算机 软件环境:Windows XP Multisim 10 课程设计任务要求1.猜数字游戏由若干个按键、若干个发光二极管。 2.输入为六位二进制数,与正确值进行比较,判断得出结果。 3.如果出现连续5次猜错,则红灯亮,表示游戏结束。 4.游戏开始时,可以对存储值进行设置。 课程设计工作进度计划 序号起止日期工作内容分工情况 1 5.28~6. 2 课程内容介绍和查找资 料严盼盼:介绍课程内容 武倩、闫静、周晶晶、夏艾:查找资料 2 6.3~6.6 设计总体思路,基本原 理和框图 严盼盼:分析数字电路所需的芯片 3 6.7~6.10 单元电路设计严盼盼:对电路进行总设计 武倩、闫静、周晶晶:负责了解各芯片功能 夏艾:负责连接线路 4 6.11~6.12 搭建仿真电路并进行测 试,调试电路严盼盼、周晶晶:搭建电路 武倩、闫静、夏艾:故障分析并进行改进 5 6.13~6.15 整理文档与总结武倩:负责整理文档 指导教师签字:年月日 系(教研室)审核意见: 系(教研室)主任签字:年月日

数字逻辑课程设计十路抢答器

数字逻辑系统 课程设计 项目:十路智力竞赛抢答器 班级: 09电子A班 姓名:刘金梁 学号: 0915211039 题目及要求: 题目4多路智力竞赛抢答器 一、任务 设计一个多路智力竞赛抢答器。 二、设计要求 1、基本要求 <1)设计一个4路<1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。<2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。 2、发挥部分 <1)扩展为10路<1~10)智力竞赛抢答器。 <2)设计抢答最长时间<30秒)限制和倒计时显示。 1)根据题目要求设计系统总框图及总原理图如下:

下面分模块对各个部分进行方案选取和论证: 1.抢答按钮 抢答顾名思义就是要求快速,方便,故选用微动开关,而不选用别扭的拨动开关。 2.译码电路及数码显示 译码电路主要有两种,一种是用芯片进行译码,比如74ls148(8-3译码器>,可用两片组合成16-4译码器,选取其中10路。下图为四路采用148进行译码的范例 另一种是利用加二极管防止反向然后直接连接到4511等七段显示译码器如下图

个人认为第二种方法更简单、便捷,故采取第二种。 3.锁存器 锁存器采用74ls74 D触发器,经过4个或门处理 当有按键按下去的时候置高,从而 D 触发器5 端输出为高电平反馈到 4511 的 5 端<使能端),从而实现锁定功能。 4.报警电路

因为要求抢答报警时只能响一声,故用555另配合电阻、电容可形成大约1秒 单稳触发器,因为 低脉冲的时候触发 而按键按下置高, 故需加一反向器, 用或非74ls02也可。 T=RC*ln3=1.1RC,故电阻取10u电阻取100k。 5.减数及译码电路 要产生1hz的秒脉冲,同样选用555定时器,接法如下电路, 故选用电容100u,则计算出=14.3k,选用 R1=4.3K,R2=5K。减计数芯片选用十进制74ls192,接法如下,把秒脉冲输入到底下那片的4脚,计数十次后在13脚会产生一个脉冲,输入到上面那片,而上面那片从3减到0后13脚也产生一个负脉冲输入74ls74的清零端,并使74的输出负端接到计数器的置数端使之一直置数,认为倒计时结束,显示部分仍用4511译码显示 6.主持人开关

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班 学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。

学会撰写综合实验总结报告。 通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下 1同步十进制计数器74LS162 3输入正与非门74LS00

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

相关文档
最新文档