数字电压表的文献综述

数字电压表的文献综述
数字电压表的文献综述

文献综述

一.前言

发展历程

数字电压表在1952年由美国NLS公司首次从电位差计的自动化过程中研制成功。50多年来,数字电压表有了不断的进步和提高。数字电压表刚开始是4位显示,然后是5位、6位,而现在发展到7位、8位数码显示;从最初的一两种类型发展到原理不同的几十种类型;从最早的采用继电器、电子管发展到全晶体管、集成电路、微处理器化;从一台仪器只能测一到两种参数到能测几十种参数的多用型;显示器件也从辉光数码管发展到等离子体管、发光二极管、液晶显示器等。数字电压表的体积和功耗越来越小,重量不断变轻,价格也逐步下降,可靠性越来越高,量程范围也逐步扩大。

DVM的高速发展,使它已成为实现测量自动化、提高工作效率不可缺少的仪表,现在已经广泛应用于电子、电工测量,自动化测试系统等领域。故数字电压表已成为一种必不可少的测量仪器。本设计是基于单片机AT89C51的数字电压表。硬件电路设计简单,具有读数方便、误差小、稳定性高等特点,具有较高应用价值,特别适合平常简单的测量。采用智能化的数字仪器将是必然的趋势,它们不仅能提高测量准确度,而且能提高电测量技术的自动化程序,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域。从而提高计量检定人员的工作效率。

二.正文

1.DVM简介

数字电压表(Digital Voltmeter)简称DVM,是采用数字化的测量技术,将连续的模拟量转换成为离散的数字形式并加以显示的电子测量仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求。数字电压表具有以下九大特点:1. 显示清晰直观,读数准确;2. 准确度高;3. 分辨率高;4. 测量范围宽;5. 扩展能力强;6. 测量速率快;7.输入阻抗高;8. 集成度高,微功耗;9. 抗干扰能力强。采用单片机的数字电压表不仅精度高、抗干扰能力强,

可扩展性强、集成方便,还可以与PC进行实时通信。数字电压表是诸多数字化仪表的核心与基础,电压表的数字化是将连续的模拟量如直流电压转换成不连续的离散的数字形式并加以显示,这有别于传统的以指针加刻度盘进行读数的方法,避免了读数的视差和视觉疲劳。数字电压表的内部核心部件是A/D转换器,转换器的精度影响数字电压表的准确度,本文采用ADC0809对输入模拟信号进行转换,控制核心AT89C5l单片机对转换的结果进行运算和处理后,形成精度较高的数字信号输出到LED数码管进行显示。

目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等领域,显示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。在电量的测量中,电压、电流和频率是最基本的三个被测量。其中,电压量的测量最为经常。而且随着电子技术的发展,更是经常需要测量高精度的电压,所以数字电压表就成为一种必不可少的测量仪器。另外,由于数字式仪器具有读数准确方便、精度高、误差小、灵敏度高和分辨率高、测量速度快等特点而倍受用户青睐,数字式电压表就是基于这种需求而发展起来的。

2.内容简介

本设计是以基于单片机的数字电压表设计为研究内容。首先对数字电压表作详细介绍,接着讲述数字电压表的类型和作用以及一些数字电压表的制作原理和构造,对比一下各种方法制造的电压表,对各种电压表的制作做一个归纳和总结,最后给出自己的方案和准备采用的方法。

3.方案选择

在本设计中,有方案的多样性特点。由于大规模集成电路数字芯片的高速发展,各种数字芯片品种多样,导致对模拟数据的采集部分的不一致性,进而又使对数据的处理及显示的方式的多样性。综合大部分资料文献之后发现,数字电压表一般分为以下几类:

方案一:由数字电路及芯片构建。

此类数字电压表一般又分为三位半和四位半,即分别有三位完整显示位和四位完整显示位,最高位都只显示0或者1。它由模拟电路与数字电路两大部分组成,模拟部分包括输入放大器、A/D转换器和基准电压源;数字部分包括计数器、译

码器、逻辑控制器、振荡器和显示器。其中,A/D 转换器是它的核心器件,它将输入的模拟量转换成数字量。模拟电路和数字电路是相互联系的,由逻辑控制电路产生控制信号,按规定的时序将A/D 转换器中各组模拟开关接通或断开,保证A/D 转换正常进行。A/D 转换结果通过计数译码电路变换成段码,最后驱动显示器显示出相应的数值。

其中,核心部分的A/D 转换芯片有ICL7107、ICL7135、CC7106、MC14433等。在谢自美主编的《电子线路设计/实验/测试》一书第七章中,有一利用CC7106构建的数字电压表设计。此方案的数字电压表最大的特点就是结构相对简单、算法也不复杂,而且能够满足一般的电压测量。

方案二:由单片机系统及数字芯片构建

数字电压表系统整体框图如下图1所示。

图1 整体框图

这种方案是利用单片机系统与模数转换芯片、显示模块等的结合构建数字电压表。本系统以AT89C52单片机为核心控制器,电压测量电路与ADC0809相连,经A /D 转换将测得的模拟电压信号转换为数字信号输人给单片机,经单片机进行信号处理后,形成精度较高的数字信号输出到LED 数码管进行显示,并留有一定的接口,供扩展用。根据数字电压表基本原理:该电路通过ADC0809芯片采样输入口IN0输入的0~5V 的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道D0--D7传送给AT89C52芯片的P0口。该电压表的测量电路主要由三个模块组成:A/D 转换模块、数据处理模块及显示控制模块。A/D 转换主要由芯片ADC0809来完成,它负责把采集到的模拟量转换为相应的数字量再传送到数据处理模块。数据处理则由芯片AT89C52来完成,其负责把接收到的数字量经过数据处理,产

生正确的数码管的显示段码,再通过软件程序将采集到的数据送单片机系统存储并在显示器上显示出来。同时它还通过其三位I/O口产生位选信号,控制数码管的亮灭。另外,AT89C52还控制着ADC0809的工作。显示模块主要由数码管组成,显示测量到的电压值。至此,一个简单的由单片机构建的直流数字电压表就完成了。

由于单片机的发展已经成熟,利用单片机系统的软硬件结合,可以组装出许多的应用电路来。在周立功等编著的《单片机实验与实践》一书中,有一实验《数字电压表》(见P155),就是此类数字电压表的代表。

4.方案比较

通过比较,我们会发现:与前一种设计相比,第二类设计有几大突出特点,一是硬件电路与软件系统的互相结合,二者缺一不可,只有在硬件连接无误的基础上,再加以精确的程序才能最终实现设计的成功,而第一种则不然,它只要硬件电路设计无误,校准A/D转换芯片的基准电压后就可以使用了,这是它的优点也是缺点;二是通过单片机系统,我们还可以在原有的电路上不断补充和改进我们的设计,不仅可以让设计更加完美,而且可以在必要的时候对其升级,比如可以更换芯片、提高其精度等,而第一种则很难,若要更换芯片,则要改变整个电路的设计。由此可见,第二类设计的优势更明显,也更合理。

三.小结

课题的主要内容如下:

1)了解数字电压表的基本概念和原理,熟悉不同量程方法之间的差别和其实现方法。

2)熟悉数字电压表的体系结构、实现方法。

3)掌握数字电压表的各主要性能指标。课题的目的和意义在于了解数字电压表的基本概念和原理,掌握数字电压表的硬件结构、软件程序设计及原理图;DVM广泛应用于测量领域每期测量的准确度和可信度取决于它的主要性能和技术指标,所以学习和掌握如何设计DVM就显得十分重要。

在现代电子科技的高速发展过程中,微型化、集成化、高密度化以及设备的高精度化已经成为一种长期的趋势,这就要求我们力求使用更精确的设备,其中当然包括测量工具。作为电子测量工具,电压表的要求也越来越高,传统的模拟

电压表不仅使用不方便,而且测量的精度也往往达不到要求,给使用者造成误差及损失。本设计利用单片机系统结合AD采集芯片,不仅成本上比过去低廉,测量时使用方便,携带也方便,最主要的是精度高并且可控制,符合科技潮流的发展。本设计中使用的芯片只是当前电子科技发展的一般产物,随着科技的不断发展,更高密度,更高精度的芯片将会取代现有的产品,所以我们还要不断的学习,不断的丰富和更新我们的产品,提出更高的要求。

四.参考文献

参考文献:

[1] 林占江.电子测量技术.北京:电子工业出版社,2011.

[2] 童诗白.模拟电子技术基础.北京:高等教育出版社,2006.

[3] 赵景波.Prote199SE应用与实例教程.北京:人民邮电出版社,2009.

[4] 尹勇.Multisim电路仿真入门与进阶.北京:科学出版社,2005.

[5] 彭虎.微机原理与接口技术.北京:电子工业出版社,2008.

[6] 张毅刚.单片机原理及应用.北京:高等教育出版社,2003.

[7] 阎石.数字电子技术基础.北京:高等教育出版社,2006.

[8] 刘敏娜等.基于51单片机的数字电压表仿真设计.山西电子技术[J],2011.

[9] 贾更新.电子技术实验与课程设计.西北工业大学出版社,2010.

[10] 杨志忠主编.电子技术课程设计.机械工业出版社,2008.

[11]罗杰谢自美等.电子线路设计/实验/测试.电子工业出版社.2008

[12]周立功等.单片机实验与实践教程.北京:北京航空航天大学出版

社.2006.

电子技术课程设计多功能数字时钟范文

电子技术课程设计多功能数字时钟

电子技术课程设计 数字钟的设计 一、设计任务与要求 1.能直接显示“时”、“分”、“秒”十进制数字的石英数字 钟。 2.能够24小时制或12小时制。 3.具有校时功能。能够对小时和分单独校时,对分校时的时候, 停止分向小时进位。校时时钟源能够手动输入或借用电路中的时钟。 4.整点能自动报时,要求报时声响四低一高,最后一响为整点。 5.走时精度高于普通机械时钟(误差不超过1s/d)。 二、方案设计与认证 1、课题分析 数字时钟一般由6个部分组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。秒信号送入计数器进行计数,把累计的结果以“时”、“分”、“秒”的十进制数字显示出来。“时”显示由二十四进制计数器、译码器和显示器构成,“分”、“秒”显示分别由六十进制计数器、译码器构成。其原理框图如图1所示。

2、方案认证 (1)振荡器 振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。数字钟的精度,主要取决于时间标准信号的频率及稳定度。振荡器的频率越高,计时的精度就越高,但耗电量将增大。一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。 (2)分频器 振荡器产生的时基信号一般频率都很高,要使它变成能用来计时的“秒”信号,需由分频器来完成。分频器的级数和每级的分频次数要根据时基频率来定。例如,当前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的

“秒”信号。也可选用其它频率的时基信号,确定好分频次数后再选择合适的集成电路。 (3)计数器 数字钟的“秒”、“分”信号产生电路都由六十进制计数器构成,“时”信号产生电路由二十四进制计数器构成。“秒”和“分”计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们一般计数习惯。“时”计数也能够用两块十进制计数器实现,只是做成二十四进制。上述计数器均可用反馈清零法来实现。 (4)译码显示电路 因本设计选用的计数器全部采用二-十进制集成块,因而计数器的译码显示均采用BCD-七段显示译码器,显示器采用共阴极或共阳极的七段显示数码管。 (5)校时电路 在刚开机接通电源或计时出现误差时,都需要对时间进行校正。校“时”电路的基本原理是将周期为0.5s的脉冲信号直接引进“时”计数器,同时将“分”计数器置零,让“时”计数器快速计数,在“时”的指示达到需要的数字后,切断0.5s的脉冲信号。 (6)整点报时电路 数字钟整点报时是最基本的功能之一。此电路要求每当“分”和

英文文献

英文文献 1 Introduction Following the immensely successful first-generation Cyclone device family, Altera Cyclone II FPGAs extend the low-cost FPGA density range to 68,416 logic elements (LEs) and provide up to 622 usable I/O pins and up to 1.1 Mbits of embedded memory. Cyclone II FPGAs are manufactured on 300-mm wafers using TSMC's 90-nm low-k dielectric process to ensure rapid availability and low cost. By minimizing silicon area, Cyclone II devices can support complex digital systems on a single chip at a cost that rivals that of ASICs. Unlike other FPGA vendors who compromise power consumption and performance for low-cost, Altera’s latest generation of low-cost FPGAs—Cyclone II FPGAs, offer 60% higher performance and half the power consumption of competing 90-nm FPGAs. The low cost and optimized feature set of Cyclone II FPGAs make them ideal solutions for a wide array of automotive, consumer, communications, video processing, test and measurement, and other end-market solutions. Reference designs, system diagrams, and IP, found at https://www.360docs.net/doc/ac7518059.html,, are available to help you rapidly develop complete end-market solutions using Cyclone II FPGAs. Low-Cost Embedded Processing Solutions Cyclone II devices support the Nios II embedded processor which allows you to implement custom-fit embedded processing solutions. Cyclone II devices can also expand the peripheralset, memory, I/O, or performance of embedded processors. Single or multiple Nios II embedded processors can be designed into a Cyclone IIdevice to provide additional co-processing power or even replace existing embedded processors in your system. Using Cyclone II and Nios II together allow for low-cost, high-performance embedded processing solutions, which allow you to extend your product's life cycle and improve time to market over standard product solutions Low-Cost DSP Solutions Use Cyclone II FPGAs alone or as DSP co-processors to improve price-to-performance ratios for digital signal processing (DSP) applications. You can implement high-performance yet low-cost DSP systems with the following Cyclone II features and design support: ■ Up to 150 18 × 18 multipliers ■ Up to 1.1 Mb it of on-chip embedded memory ■ High-speed interfaces to external memory

基于单片机的多功能数字电子钟文献综述

基于单片机的多功能数字电子钟文献综述 :本文首先介绍了时钟的发展史,接着介绍了数字电子钟在生活中的重要性。并进一步的介绍了基于单片机的数字电子钟的原理,以及此类产品的市场前景。 关键词:单片机、数字电子钟、多功能 1.前言从古至今,时间一直是个被学者们所探讨的永恒不变的话题。而为了描述时间,许多计时仪器也就此诞生。东汉张衡制造漏水转浑天仪,用齿轮系统把浑象和计时漏壶联结起来,漏壶滴水推动浑象均匀地旋转,一天刚好转一周,这是最早出现的机械钟。北宋元祜三年(1088)苏颂和韩公廉等创制水运仪象台,已运用了擒纵机构。 公元1300年以前,人类主要是利用天文现象和流动物质的连续运动来计时。1500~1510年,德国的亨莱思首先用钢发条代替重锤,创造了用冕状轮擒纵机构的小型机械钟,1582年前后,意大利的伽利略发明了重力摆;1660年英国的胡克发明游丝,并用后退式擒纵机构代替了冕状轮擒纵机构;1695年,英国的汤姆平发明工字轮擒纵机构;1715年,英国的格雷厄姆又发明了静止式擒纵机构,弥补了后退式擒纵机构的不足,为发展精密机械钟表打下了基础;1765年,英国的马奇发明自由锚式擒纵机构,即现代叉瓦式擒纵机构的前身;18~19世纪,钟表制造业已逐步实现工业化生产,并达到相当高的水平。 20世纪,随着电子工业的迅速发展,电池驱动钟、交流电钟、电机械表、指针式石英电子钟表、数字式石英电子钟表相继问世,而如今出现的多功能电子钟,是采用数字电路实现对时,分,秒数字显示的计时装置,目前广泛用于个人家庭,车站,码头办公室等公共场所,它的作用已不仅仅是用于计时,其丰富的功能,以及人性化的设计,为我们日常生活带来诸多的便利,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运行超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便而且大大地扩展了钟表原先的报时功能。因此,研究数字钟及扩大其应用,有着非常现实的意义。 2.单片机电子钟原理数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外具有秒表、显示室温、显示日期以及世界时等附加功能。因此,一个数字钟电路主要由译码显示器、“时”,“分”,“秒”,“星期”计数器、校时电路、报时电路、振荡器和温度传感器组成。 主电路系统由秒信号发生器、“时、分、秒、星期”计数器、译码器及显示器、校时电路、整点报时电路组成。 3秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。 “分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。 “时计数器”采用24进制计时器,可实现对一天24小时的累计。每累计24小时,发出一个“星期脉冲”信号,该信号将被送到“星期计数器”,“星期计数器”采用7进制计时器,可实现对一周7天的累计。 译码显示电路将“时”、“分”、“秒”、“星期”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来。 整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

数字电压表的文献综述

文献综述 一.前言 发展历程 数字电压表在1952年由美国NLS公司首次从电位差计的自动化过程中研制成功。50多年来,数字电压表有了不断的进步和提高。数字电压表刚开始是4位显示,然后是5位、6位,而现在发展到7位、8位数码显示;从最初的一两种类型发展到原理不同的几十种类型;从最早的采用继电器、电子管发展到全晶体管、集成电路、微处理器化;从一台仪器只能测一到两种参数到能测几十种参数的多用型;显示器件也从辉光数码管发展到等离子体管、发光二极管、液晶显示器等。数字电压表的体积和功耗越来越小,重量不断变轻,价格也逐步下降,可靠性越来越高,量程范围也逐步扩大。 DVM的高速发展,使它已成为实现测量自动化、提高工作效率不可缺少的仪表,现在已经广泛应用于电子、电工测量,自动化测试系统等领域。故数字电压表已成为一种必不可少的测量仪器。本设计是基于单片机AT89C51的数字电压表。硬件电路设计简单,具有读数方便、误差小、稳定性高等特点,具有较高应用价值,特别适合平常简单的测量。采用智能化的数字仪器将是必然的趋势,它们不仅能提高测量准确度,而且能提高电测量技术的自动化程序,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域。从而提高计量检定人员的工作效率。 二.正文 1.DVM简介 数字电压表(Digital Voltmeter)简称DVM,是采用数字化的测量技术,将连续的模拟量转换成为离散的数字形式并加以显示的电子测量仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求。数字电压表具有以下九大特点:1. 显示清晰直观,读数准确;2. 准确度高;3. 分辨率高;4. 测量范围宽;5. 扩展能力强;6. 测量速率快;7.输入阻抗高;8. 集成度高,微功耗;9. 抗干扰能力强。采用单片机的数字电压表不仅精度高、抗干扰能力强,

多功能数字电压表课程设计

1.设计主要内容及要求; 设计一个多功能数字电压表。 要求:1)硬件电路设计,包括原理图和PCB板图。 2)数字电压表软件设计。 3)要求能够测量并显示直流电压、交流电压,测量范围0.002V---2V。 2.对设计论文撰写内容、格式、字数的要求; (1).课程设计论文是体现和总结课程设计成果的载体,一般不应少于3000字。 (2).学生应撰写的内容为:中文摘要和关键词、目录、正文、参考文献等。课程设计论文的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。应做到文理通顺,内容正确完整,书写工整,装订整齐。 (3).论文要求打印,打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。 (4). 课程设计论文装订顺序为:封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。 3.时间进度安排;

中文摘要 随着微型计算机及微电子技术在测试领域中的广泛应用,仪器仪表在测量原理、准确度、灵敏度、可靠性、多种功能及自动化水平等方面都发生了巨大的变化,逐步形成了完全突破传统概念的新一代仪器——智能仪器。智能化是现代仪器仪表的发展趋势,许多嵌入式系统、电子技术和现场总线领域的新技术被应用于智能仪器仪表的设计,尤其是嵌入式系统的许多新的理念极大地促进了智能仪器仪表技术的发展。 今年来,随着大规模集成电路的发展,有单片A/D转换器构成的数字电压表获得了迅速普及和广泛应用,它是目前在电子测量及维修工作中最常用、最得力的一种工具类数字仪表。数字电压表具有很高的性价比,其主要优点是准确度高、分辨力强测试功能完善、测量速率快、显示直观。 测试仪器的智能化已是现代仪器仪表发展的主流方向。因此学习智能仪器的工作原理、掌握新技术和设计方法无疑是十分重要的。 关键词智能,数字,电压表,仪器仪表

fpga英文文献翻译

Field-programmable gate array (现场可编程门阵列) 1、History ——历史 FPGA业界的可编程只读存储器(PROM)和可编程逻辑器件(PLD)萌芽。可编程只读存储器(PROM)和可编程逻辑器件(PLD)都可以分批在工厂或在现场(现场可编程)编程,然而,可编程逻辑被硬线连接在逻辑门之间。 在80年代末期,为海军水面作战部提供经费的的史蒂夫·卡斯尔曼提出要开发将实现60万可再编程门计算机实验。卡斯尔曼是成功的,并且与系统有关的专利是在1992年发行的。 1985年,大卫·W·佩奇和卢文R.彼得森获得专利,一些行业的基本概念和可编程逻辑阵列,门,逻辑块技术公司开始成立。 同年,Xilinx共同创始人,Ross Freeman和Bernard Vonderschmitt发明了第一个商业上可行的现场可编程门阵列——XC2064。该XC2064可实现可编程门与其它门之间可编程互连,是一个新的技术和市场的开端。XC2064有一个64位可配置逻辑块(CLB),有两个三输入查找表(LUT)。20多年后,Ross Freeman进入全国发明家名人堂,名人堂对他的发明赞誉不绝。 Xilinx继续受到挑战,并从1985年到90年代中期迅速增长,当竞争对手如雨后春笋般成立,削弱了显著的市场份额。到1993年,Actel大约占市场的18%。

上世纪90年代是FPGA的爆炸性时期,无论是在复杂性和生产量。在90年代初期,FPGA的电信和网络进行了初步应用。到这个十年结束时,FPGA行业领袖们以他们的方式进入消费电子,汽车和工业应用。 1997年,一个在苏塞克斯大学工作的研究员阿德里安·汤普森,合并遗传算法技术和FPGA来创建一个声音识别装置,使得FPGA的名气可见一斑。汤姆逊的算法配置10×10的细胞在Xilinx的FPGA芯片阵列,以两个音区分,利用数字芯片的模拟功能。而今,该遗传算法应用到FPGA中设备的配置上被称为演化硬件。 2、Modern developments ——现代的发展 最近的趋势是通过组合逻辑块和嵌入式微处理器和相关外设传统的FPGA 互连,形成一个完整的“可编程片上系统”,采取粗粒度的架构方法实现了这一步。这项工作反映了由宝来先进系统集团的Ron Perlof 和Hana Potash在单一芯片SB24上结合可重构CPU架构的体系结构。这项工作是在1982年完成的,这种混合动力技术可以在Xilinx公司的Virtex-II Pro和Virtex-4设备中看到,包括嵌入式FPGA的逻辑结构中的一个或多个PowerPC处理器。Atmel 的FPSLIC是另一个这样的设备,它使用的是组合了Atmel可编程逻辑架构的AVR处理器。Actel的SmartFusion器件集成了配置有Cortex-M3硬处理器内核(最大闪存和512KB为64KB RAM)的ARM架构和模拟外设,如多通道ADC和DAC的基于闪存的FPGA架构。 使用硬宏处理器的另一种方法是利用在FPGA逻辑中实现的软核处理器。

基于单片机的电子时钟设计【文献综述】

毕业设计开题报告 电子信息工程 基于单片机的电子时钟设计 摘要 本文的内容主要介绍了以MCS-51单片机为核心的数字钟的硬件结构以及软件的设计,其中应用了DS12887时钟芯片、1602液晶显示器(LCD)以及蜂鸣器等器件,一起实现了数字时钟定时、准点闹铃和调时等功能。该设计的电路部分主要由时钟模块、液晶显示、键盘调时和蜂鸣器报时三个模块组成。文章通过对数字钟和单片机的发展背景、现状和发展前景以及应用的介绍,确定了该课题研究的方向。在最后的总结中,概括了单片机系统的性能、特点以及发展方向。 一、前言 设计的目的: 在设计的过程中,我们可以理解单片机最小系统的概念,知道怎么才能让单片机系统运行起来,使我们对单片机的理解不仅仅局限于理论上;通过键盘和显示模块的设计,我们可以了解单片机控制的基本理念,并了解单片机和外围IC的接口模型;而通过对单片机最重要两个功能(中断、定时)的使用,可以使我们熟悉单片机的基本结构与工作原理;在最终的制作过程中,我们还可以熟悉硬件制作的流程和实现软件功能的过程,以提高动手能力,让理论和实践相结合。 设计的内容: 利用单片机最小系统,设计一个电子时钟,要求包括以下内容: (1)显示时间、日期、三组闹铃。 (2)4个按键实现显示状态切换,时间、日期、闹铃的设置。

(3)闹铃时间到蜂鸣器以1HZ的频率响三次。 (4)单片机停电重启后定时设计不变、时间准确。 设计的意义: 电子时钟是一种采用数字电路实现显示时、分、秒数字的计时装置,是人们日常生活中不可缺少的物品,在个人,家庭以及办公室等公共场所中被广泛应用,给人们的生活,学习,工作以及娱乐带来了许多便利条件。而由于数字集成电路和石英晶体振荡器等相关技术的不断发展,电子时钟的性能相对于老式钟表有了更大的提高,变得更加准确、稳定,携带也变得越来越方便,并且还大大的扩展了原来所以的报时功能。在许多方面,例如定时自动报警、时间程序自动控制、按时自动打铃、定时广播、自动起闭路灯、甚至各种定时电气的自动启用等,都是在钟表数字化的基础上制成的。因此,研究电子时钟还有发展它的更深的应用,有非常重要的意义。 相关概念: ● 单片机最小系统: 单片机最小系统,是指由最少的元件组成的可以使单片机工作的系统,也叫做单片机最小应用系统。89C52内部有4KB的闪烁存储器,芯片本身就是一个最小系统。在能够满足系统的性能要求的情况时,可优先考虑采用这种方案。这种芯片构成的单片机最小系统具有简单、可靠的特点。用89C52单片机构成最小系统时,只要在单片机上接时钟电路和复位电路就可以了。不过该最小系统只能用于一些小型的数字量的测控单元。 ● 蜂鸣器: 蜂鸣器是一种一体化结构的电子讯响器,使用直流电压供电,广泛地在计算机、打印机、复印机、报警器、电子玩具、汽车电子设备等电子产品中作为发声器件使用。 蜂鸣器主要分为压电式蜂鸣器和电磁式蜂鸣器两种类型: 1.压电式蜂鸣器:主要由多谐振荡器、压电蜂鸣片、阻抗匹配器及共鸣箱、外

基于单片机的数字电压表--开题报告

毕业设计(论文)开题报告 ——基于单片机的数字电压表设计与实现 引言 在传统的电工和电子测量中广泛使用的模拟测量仪表,虽然具有可直观看出表针偏转了多少格或满刻度的百分之几等优点,但需要对读数加以换算或说明, 尤其是不可避免地要带来人为的“视差”,不同的观察者会得到不同的结果。数字仪表则不同,它可以将测量结果直接用数字显示出来,读数准确,设计简单,可以随身携带,使用上更加方便快捷。 一、数字电压表的历史发展与选题意义 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。 1.1 数字电压表的历史发展 数字电压表自1952年问世以来,已有50多年的发展史,大致经历了五代产品。第一代产品是20世纪50年代问世的电子管数字电压表,第二代产品属于20世纪60年代出现的晶体管数字电压表,第三代产品为20世纪70年代研制的中、小规模集成电路的DVM。近年来,国内外相继推出由大规模集成电路(LSI)或超大规模集成电路(VLSI)构成的数字电压表、智能数字电压表,分别属于第四代、第五代产品。它们不仅开创了电子测量的先河,更以其高准确度、高可靠性、高分辨力、高性价比等优良特性而受到人们的青睐。 1.2选题意义 相对于传统的指针表而言,数字电压表有以下特点: 1.读数直观准确; 2.显示位数; 3.准确度高,分辨率高;

数字信号处理英文文献及翻译

数字信号处理 一、导论 数字信号处理(DSP)是由一系列的数字或符号来表示这些信号的处理的过程的。数字信号处理与模拟信号处理属于信号处理领域。DSP包括子域的音频和语音信号处理,雷达和声纳信号处理,传感器阵列处理,谱估计,统计信号处理,数字图像处理,通信信号处理,生物医学信号处理,地震数据处理等。 由于DSP的目标通常是对连续的真实世界的模拟信号进行测量或滤波,第一步通常是通过使用一个模拟到数字的转换器将信号从模拟信号转化到数字信号。通常,所需的输出信号却是一个模拟输出信号,因此这就需要一个数字到模拟的转换器。即使这个过程比模拟处理更复杂的和而且具有离散值,由于数字信号处理的错误检测和校正不易受噪声影响,它的稳定性使得它优于许多模拟信号处理的应用(虽然不是全部)。 DSP算法一直是运行在标准的计算机,被称为数字信号处理器(DSP)的专用处理器或在专用硬件如特殊应用集成电路(ASIC)。目前有用于数字信号处理的附加技术包括更强大的通用微处理器,现场可编程门阵列(FPGA),数字信号控制器(大多为工业应用,如电机控制)和流处理器和其他相关技术。 在数字信号处理过程中,工程师通常研究数字信号的以下领域:时间域(一维信号),空间域(多维信号),频率域,域和小波域的自相关。他们选择在哪个领域过程中的一个信号,做一个明智的猜测(或通过尝试不同的可能性)作为该域的最佳代表的信号的本质特征。从测量装置对样品序列产生一个时间或空间域表示,而离散傅立叶变换产生的频谱的频率域信息。自相关的定义是互相关的信号本身在不同时间间隔的时间或空间的相关情况。 二、信号采样 随着计算机的应用越来越多地使用,数字信号处理的需要也增加了。为了在计算机上使用一个模拟信号的计算机,它上面必须使用模拟到数字的转换器(ADC)使其数字化。采样通常分两阶段进行,离散化和量化。在离散化阶段,信号的空间被划分成等价类和量化是通过一组有限的具有代表性的信号值来代替信号近似值。 奈奎斯特-香农采样定理指出,如果样本的取样频率大于两倍的信号的最高频率,一个信号可以准确地重建它的样本。在实践中,采样频率往往大大超过所需的带宽的两倍。 数字模拟转换器(DAC)用于将数字信号转化到模拟信号。数字计算机的使用是数字控制系统中的一个关键因素。 三、时间域和空间域 在时间或空间域中最常见的处理方法是对输入信号进行一种称为滤波的操作。滤波通常包括对一些周边样本的输入或输出信号电流采样进行一些改造。现在有各种不同的方法来表征的滤波器,例如: 一个线性滤波器的输入样本的线性变换;其他的过滤器都是“非线性”。线性滤波器满足叠加条件,即如果一个输入不同的信号的加权线性组合,输出的是一个同样加权线性组合所对应的输出信号。

基于单片机数字时钟设计开题报告

毕业设计(论文)材料之二(2) 本科毕业设计(论文)开题报告 题目:基于单片机数字时钟设计 The Design of Digital Clock Based On A Singlechip 课题类型:设计□实验研究□论文□ 学生姓名: 专业班级: 学号: 教学单位: 指导教师: 开题时间: 2013年月日 2013年月日 一、毕业设计(论文)容及研究意义(价值) 1.设计(论文)容

本论文主要研究基于单片机的数字时钟设计。当程序执行后,显示计时时间。设置4个操作键:K1:设置键;K2:上调键;K3:下调键;K4:确定键。 电子钟的格式为:XX.XX.XX ,由左向右分别为:时、分、秒。完成显示由秒01一直加1至59,再恢复为00;分加1,由00至01,一直加1至59,再恢复00;时加1,时由00加至23之后秒、分、时全部清清零。该钟使用T0作250us的定时中断。 走时调整:走时过程中直接调整且不影响走时准确性,按下时间选择键对“时、分、秒”显示进行调整,每按一下时间加,即加1,时间减,即减1。 附加功能:星期,年、月、日,温度检测。 本设计的主要容:1、了解单片机技术的背景及发展现状,熟悉数字时钟各模块的工作原理;2、选择适当的芯片和元器件,确定系统电路,绘制电路原理图,尤其是各接口电路;3、熟悉单片机使用方法和C语言的编程规则,编写出相应模块的应用程序;4、分别在各自的模块中调试出对应的功能,在Proteus 软件上进行仿真。 2.研究意义及价值 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路装化等几个方面发展。下面是单片机的主要发展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法 来实现了。这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命。 单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站,码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 二、毕业设计(论文)研究现状和发展趋势(文献综述) 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,

数字电压表的设计毕业设计论文

田唯迪:数字电压表的设计 华东交通大学理工学院 Institute of Technology. East China Jiao tong University 毕业设计 Graduation Design (2011 —2015 年) 题目数字电压表的设计 分院:电气与信息工程分院 专业:工程及其自动化 班级:电力2011-1 学号: 学生姓名:田唯迪 指导教师: 起讫日期:2015-01-01—2015-05-10

华东交通大学理工学院毕业设计 摘要 在电子应用领域,工业自动化仪表已经有了非常广泛的应用。本文设计的数字电压表以AT89C51单片机为主要控制器件,利用ADC0808把模拟信号转换为数字信号并加以显示的电路。它的设计主要包括硬件电路和系统程序两部分设计。硬件电路主要是单片机最小设计模块、A/D转换模块和显示模块的设计,系统程序设计则是通过AT89C51单片机先将系统初始化,通过ADC0808转换芯片把模拟量转换成数字量,最后通过数码管显示数据。设计的数字电压表的测量范围为200mv—10v,对直流电压进行测量。该电路功能强大,有报警系统,可控制测量范围,数码管显示精度高,可扩展性强等优点。 数字电压表的应用在很多领域,有非常好的应用前景。对数字电压表进行研究很有必要性。这对我们研究单片机技术是很有帮助的。 关键词:AT89C51;ADC0808;电压测量;A/D转换 1

田唯迪:数字电压表的设计 Abstract In electronic applications, industrial automation instruments have a very wide range of applications. This design of a digital voltmeter to AT89C51 microcontroller as the main control device, use it ADC0808 analog signals into digital signals and display them circuit. Its design includes hardware and system design program in two parts. The hardware circuit design module is the smallest single-chip design A / D converter module and display module, system programming is through the first AT89C51 SCM system initialization, by ADC0808 converter chip to convert analog to digital, and finally through a digital display data. Measuring range designed digital voltmeter is 200mv-10v, DC voltage measurement. The circuit is powerful, alarm system, control measuring range, digital display and high precision, scalability and other advantages.残骛楼諍锩瀨濟溆塹籟。 Application of digital voltmeter in many areas, there is a very good prospect. Conduct research on the digital voltmeter very necessity. This single-chip technology for our study is helpful.酽锕极額閉镇桧猪訣锥。 Key words: T89C52; ADC0808; V oltage measurement;A/D converter 2

大屏幕显示系统的研究毕业论文外文文献翻译及原文

毕业设计(论文)外文文献翻译 文献、资料中文题目:大屏幕显示系统的研究 文献、资料英文题目:The research of the large screen display system's 文献、资料来源: 文献、资料发表(出版)日期: 院(部): 专业: 班级: 姓名: 学号: 指导教师: 翻译日期: 2017.02.14

译文: 大屏幕显示系统的研究 LED的发展 随着计算机技术的高速发展,LED屏幕显示系统作为继电视、广播、报纸、杂志之后的“第五大媒体”正快速步入社会生活的各个方面。它集微电子技术、计算机技术、信息处理技术于一体,可以将信息通过文字、图案、动画及视频四种形式显示出来。与电视墙、磁翻板等媒体相比,LED大屏幕显示系统具有图案美观、色彩亮丽;图案、色彩变化丰富、快速;低功耗、长寿命、使用成本低、工作稳定可靠等特点。它显示的图文视角大、视距远,因而已广泛应用于大型广场、商业广告、体育场馆、信息传播、新闻发布、证券交易;它还应用于工业控制和工业调动系统,便于把各种参数、报警点、工艺流程显示得更加清晰完美,可以满足不同环境的需要。LED显示屏是一种利用计算机和复杂数字信号处理的电子广告宣传屏。它的屏体部分由微处理器(主要是单片机)和驱动电路控制运行,显示的图像或文字由计算机编辑软件编辑获得。由于LED显示屏这种新一代信息显示设备具有显示图案稳定、功耗低、寿命长等特点,而且它综合了各种信息显示设备的长处,并且克服了自身的不足,特别是由于一幅显示屏可以显示不同的内容,显示方式丰富。所以在公共场合,它具有强烈的广告宣传和信息传递效果,日趋在固体显示中占主导地位。LED显示屏的发展前景极为广阔,目前正朝着更高亮度、更高耐气候性、更高的发光密度、更高的发光均匀性、可靠性、全色化方向发展。由不同材料的半导体组成能发出不同色彩的LED晶点。目前应用最广的是红色、绿色、黄色LED。而蓝色和纯绿色LED的开发已经达到了实用阶段。 LED显示屏的分类 LED显示屏是多种技术综合应用的产品,涉及光电子学、半导体器件、数字电子电路、大规模集成电路、单片机及微机等各个方面,既有硬件又有软件。LED 显示屏是作为广播、电视、报纸、杂志之后的又一新传播媒体。目前LED显示屏根据使用场所不同,可以分为室外屏和室内屏两种,其主要区别是发光管的发光亮度不同。而根据所显示的内容不同也可以分为图像屏和文字屏两种,图像屏可以显示图像以及多媒体,而文字屏则主要显示文字或简单的固定图像。显示图像的多媒体室外屏是投资巨大(高达数百万)的大型高档设备,主要应用在大型公共场所、形象工程和一些重要场所。LED显示屏的应用涉及到社会经济的许多领域,

单片机课程设计数字电子钟[修改好的]

单片机技术课程设计说明书数字电子钟 院、部:电气与信息工程学院 学生姓名:郭红满 指导教师:王韧职称副教授 专业:通信工程 班级:1102 完成时间:2013-12-20

摘要 电子钟在生活中应用非常广泛,而一种简单方便的数字电子钟则更能受到人们的欢迎。所以设计一个简易数字电子钟很有必要。本电子钟采用ATMEL公司的AT89S52单片机为核心,使用12MHz 晶振与单片机AT89S52 相连接,通过软件编程的方法实现以24小时为一个周期,同时8位7段LED数码管(两个四位一体数码管)显示小时、分钟和秒的要求,并在计时过程中具有定时功能,当时间到达提前定好的时间进行蜂鸣报时。该电子钟设有四个按键K1、K2、K3和K4键,进行相应的操作就可实现校时、定时、复位功能。具有时间显示、整点报时、校正等功能。走时准确、显示直观、运行稳定等优点。具有极高的推广应用价值。 关键词电子钟;AT89S52;硬件设计;软件设计

ABSTRACT Clock is widely used in life, and a simple digital clock is more welcomed by people. So to design a simple digital electronic clock is necessary.The system use a single chip AT89S52 of ATMEL’s as its core to control The crystal oscillator clock,using of E-12MHZ is connected with the microcontroller AT89S52, through the software programming method to achieve a 24-hour cycle, and eight 7-segment LED digital tube (two four in one digital tube) displays hours, minutes and seconds requirements, and in the time course of a timing function, when the time arrived ahead of scheduled time to buzz a good timekeeping. The clock has four buttons K1, K2, K3 and K4 key, and make the appropriate action can be achieved when the school, timing, reset. With a time display, alarm clock settings, timer function, corrective action. Accurate travel time, display and intuitive, precision, stability, and so on. With a high application value. Key words Electronic clock;AT89S52;Hardware Design;Software Design

单片机数字电压表开题报告

南京航空航天大学金城学院 毕业设计(论文)开题报告 题目基于单片机的数字电压表的设计 系部自动化系 专业自动化 学生姓名高英鑫学号2011032307 指导教师侯瑞职称讲师 毕设地点南京航空航天大学金城学院 2014年11 月22 日

1.结合毕业设计(论文)课题任务情况,根据所查阅的文献资料,撰写1500~2000字左右的文献综述: 文献综述 摘要本文是以基于单片机的数字电压表设计为研究内容。首先对数字电压表作了详 细介绍,接着讲述了数字电压表的类型和作用以及一些数字电压表的制作原理和构造,对比一下各种方法制造的压表。对各种电压表的制作做一个归纳和总结,最后给出自己的方案和准备采用的手段方法。 关键词单片机 A/D转换数据处理 1 简介 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。目前,由各种单片A/D转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM 扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。 数字电压表是诸多数字化仪表的核心与基础,电压表的数字化是将连续的模拟量如直流电压转换成不连续的离散的数字形式并加以显示,这有别于传统的以指针加刻度盘进行读数的方法,避免了读数的视差和视觉疲劳。目前数字电压表的内部核心部件是A/D转换器,转换器的精度很大程度上影响着数字电压表的准确度,本文A/D转换器采用ADC0809对输人模拟信号进行转换,控制核心AT89C51再对转换的结果进行运算和处理,最后驱动输出装置显示数字电压信号。 数字电压表(数字面板表)是当前电子、电工、仪器、仪表和测量领域大量使用的一种基本测量工具有关数字电压表的书籍和应用已经非常普及了。数字电压表的主要技术指标:测量范围、输入阻抗、显示位数、测量速度、分辨率。 2 数字电压表的几种类型 DVM的种类有多种,分类方法也很多,有按位数分的,如3/2位、5位、8位;有按测量速度分的,如高速、低速;有按体积、重量分的,如袖珍式、便携式、台式。

相关文档
最新文档