Multidrop 连接模式下的 LVDS 信号质量测试

Multidrop 连接模式下的 LVDS 信号质量测试
Multidrop 连接模式下的 LVDS 信号质量测试

Multidrop连接模式下的LVDS信号质量测试

薛俊东,梁昊,陈一新,虞孝麒,周永钊

(中国科学技术大学近代物理系,合肥 230026)

摘要:在BES3 μ 子鉴别器前端电子学系统中,数据传输采用LVDS信号标准。本文介绍了对工作在MultiDrop方式下的LVDS信号传输质量的一种测试方法,并对测试结果进行了分析。

关键词:LVDS,multidrop,伪随机数据,误码率

0 引言

北京谱仪第三代改造工程的μ 子鉴别器前端电子学数据读出系统(μ 子数据读出系统),主要由放置在RPC探测器附近的625块前端板(FEC)和放置在距RPC探测器30米处的VME 读出插件组成。

μ 子鉴别器共有10,000个通道。为减少由FEC到VME读出插件的传输电缆数量,μ 子数据读出系统将10,000×1位的数据组织成40个数据链。一个数据链由16块FEC板组成,把256个通道的256×1位的并行数据,用菊花链的方式,转换成串行的数据,再由一条信号电缆传送到远端的VME读出插件中;同时,VME读出插件中的命令和检验用的伪随机数据,也通过该电缆传送到数据链的16块FEC板中。

μ 子数据读出系统稳定性设计指标相当严格,要求在平均触发率为4kHz的条件下,8小时内好事例丢失小于2个。同时,在所有的谱仪前端读出系统中,仅有μ 子数据读出系统是采用数字信号输出至远端的VME读出插件中,而其它的前端读出系统都是模拟信号输出至远端的VME插件中。因此,对μ 子数据读出系统的30米长线传输而言,既要能稳定地传输信号,达到上述设计指标,又要使传输信号本身不对其它前端电子学读出系统产生干扰,同时也要传输信号的发送和接收芯片的功耗足够小,以避免放置在RPC探测器内部的FEC板因功耗过大引起性能下降。

μ 子数据读出系统选用了LVDS信号规范传输数据。为了评估该规范的传输距离、传输速率和传输的数据丢失率,我们进行了一系列的测试。

1 LVDS概述

LVDS(Low Voltage Differential Signaling)是一种低摆幅的差分信号技术,其主要技术特点参见表和图1。

表1 几种常见信号的参数对照表[1]

图1 各类信号数据传输率与传输距离的关系

由表1、图1可知,LVDS信号的主要特点为:①高数据传输率;②低噪声和低电磁干扰;

③传输距离较长;④功耗低。LVDS的这些特点,可以满足μ 子数据读出系统传输信号电磁干扰小、功耗小和传输距离长等技术要求,同时,LVDS所具有的单终端匹配的multidrop 连接模式,也可以满足μ 子数据读出系统总线式连接数据传送的要求。

我们选用了TI公司的LVDS芯片SN65LVDS31(驱动)和SN65LVDS32(接收)来进行信号传输误码率的测试。每个芯片均有4个通道,带宽均为400Mbps。

2 测试原理

在测试原理上,我们利用FPGA芯片产生伪随机数字信号,经由multidrop连接模式的LVDS系统传输后,测量该传输的误码率,同时使用眼图,来监测信号传输的质量。

我们基于以下两点考虑,选择使用伪随机数字信号来测量LVDS传输系统的误码率。

第一,通信检测的要求。就通信检测而言,误码率测试一般要求采用标准的伪随机二进制码序列(PRBS:Pseudo-Random Binary Sequence),这种码型重复周期很长,在较短序列内可以近似地看成随机的、与实际通信业务类似的码型,因而比之重复码型更为可信[2]。它有如下特点[3]:

(1)伪随机序列是由移位寄存器产生的确定序列,以一定周期重复;

(2)伪随机序列具有真随机序列的部分随机特性:

①序列中两种元素0和1的个数几乎相等(只差1个),各在序列中约占1/2;

②若把n个同种元素连续出现叫一个长度为n的游程,则序列中长度为n的游程比

长度为n+1的游程多一倍;

③序列具有类似白噪声的自相关函数。

该伪随机信号同时还服从二项式分布规律,并在一定条件下趋向泊松分布[4]。

第二,μ 子数据读出系统信号输入的要求。作为一个高能物理电子学读出系统的输入信号,其幅度遵从高斯分布,而其发生时刻则遵从泊松分布。我们利用上述伪随机的泊松分布的信号,来替代实际探测器中μ 子随机击中而产生的RPC信号,作为我们的检测用数据源,才能使我们测试数据丢失率更符合我们的实际物理环境。

图2给出了眼图的一个标准模型,并且给出了抖动(jitter)的计算公式。

抖动的根源是电压噪声和时间噪声。一般而言,在实际应用中超过20%的抖动将使眼图趋向于闭合,因而误码率大增[1][5]。所以在实际测量中,只要此抖动不超过20%,其测得的误码率的置信度就比较高。

图2 眼图与抖动(jitter)[5]

3 测试方案

图3给出了基于FPGA的误码率测试电路的原理框图。图中的FPGA芯片中PRBS1和PRBS2两个部件产生伪随机数据,两个部件使用完全相同的算法:一路转换为LVDS信号并长线传输30.5米后再转换成LVTTL信号并返回至测试板,在触发后写入FPGA内部的RAM1;另一路直接在FPGA内部传输,在触发后写入FPGA内部的RAM2。两路数据在进行比较后,即可得到该次传输的误码个数,存入计数器中备查。

所谓触发,是在伪随机序列中选取一段特征序列,比如“01010010”,并做出判选:如果伪随机信号中出现该特征序列,则由读写控制模块控制,将伪随机信号从当前位置开始写入其相应的RAM,否则不写。这样做是因为经由长线传输返回的伪随机信号有延迟,而我们又希望写在两个不同RAM中的数据是相同的,以便比较。这样,经过触发后,如果没有误码,那么写在两个RAM中的数据必然一致;反之,出现几个误码,则比较出来就会差几个计数。将该计数记录下来,除以总的传输码元个数,即可得出误码率。

图3 基于FPGA的误码率测试电路原理框图

μ 子数据读出系统的设计指标是在20MHz的时钟频率下,8小时损失事例不超过2个。由于我们实测误码率时选用的是25MHz的时钟,故我们的LVDS传输系统允许的误码率:

4 测试结果分析与计算

我们在该硬件框架下,测量出一系列结果。

图4 SN65LVDS31(32)信号传输速率 VS 输出抖动

图5 SN65LVDS31(32)输出抖动 VS 不同接收芯片

如图4,显示了SN65LVDS32输出信号的传输速率与输出抖动以及传输距离的关系曲线。由于项目实际要求工作在20Mbps信号传输速率下,而芯片在这个条件下的抖动都远小于20%,故传输过程中的信号质量完全符合要求。图4只显示了最远端的接收芯片#20的抖动,那么对于传输线上每一个接收芯片,它们的抖动情况如图5。由图中可见,各接收芯片的抖动变化不大,说明该传输系统具有足够的稳定性。

图6是我们实测的一张眼图。从眼图连接部的波形畸变情况来看,终端电阻存在微小的过匹配情况[5]。

最后给出误码率的测试结果。我们一共测试了100小时,选用的时钟频率为25MHz,出现误码个数3个,故实测误码率为:

该结果比我们要求的指标小一个量级,完全可以满足μ 子鉴别器数据获取系统对传输部分的要求。

图6 20Mbps信号传输速率下SN65LVDS32输出信号眼图

5 结论

我们的一系列测试结果表明,使用LVDS芯片SN65LVDS31和SN65LVDS32可以满足我们的项目要求,即在20Mbps的传输速率下,提供足够的驱动能力实现30米传输距离和总线式数据传输模式的要求。且SN65LVDS31和SN65LVDS32每片有4个通道,这对我们简化电路板布线和节省电路板空间非常有帮助。

事实上,我们在项目的设计和调试阶段遇到了一系列的电磁干扰问题。为了提高整个系统的抗电磁干扰能力,我们用芯片SN65LVDS32B来代替SN65LVDS32。两者的差别在于SN65LVDS32B的抗共模干扰能力更强,试验结果也证明了这一点。

通过该测试,我们也对使用LVDS信号的数据传输系统有了进一步的了解和认识,为今后构建我们的μ子探测器数据获取系统提供了很多宝贵的经验。

参考文献:

[1] “LVDS Owner’s Manual”, National Semiconductor Company ,Revision 2.0-Spring 2000, Design Guides.

[2] 严挺,方志来,安琪,王砚方,“千兆位背板总线测试方法”,电子技术应用,2000年12期

[3] 余智,门爱东,“数字电视误码率的测量”,中国有线电视.标准与测量,2001 No.6

[4]陈希儒,“概率论与数理统计”,中国科学技术大学出版社,1996

[5] “Long Transmission Lines and Data Signal Quality”, National Semiconductor Company ,March 1992, Application Note 808.

Performance evaluation for LVDS signal working in

Multidrop Mode

Xue Jun-Dong Liang Hao Chen Yi-Xin Yu Xiao-Qi Zhou Yong-Zhao (Department of Modern Physics, University of Science and Technology of China, Hefei 230027, China) Abstract In the Front-end Electronics system of BESIII muon counter,LVDS

standard is used to transfer data. A method used to evaluate signal performance for LVDS signal working in Multidrop mode is introduced, also some testing result and the corresponding analysis are given in this paper.

Key words LVDS,multidrop,PRBS,BER

工程师必须懂得眼图分析方法

信号完整性分析基础系列之一 ——关于眼图测量(上) 汪进进美国力科公司深圳代表处 内容提要:本文将从作者习惯的无厘头漫话风格起篇,从四个方面介绍了眼图测量的相关知识:一、串行数据的背景知识; 二、眼图的基本概念; 三、眼图测量方法; 四、力科示波器在眼图测量方面的特点和优势。全分为上、下两篇。上篇包括一、二部分。下篇包括三、四部分。 您知道吗?眼图的历史可以追溯到大约47年前。在力科于2002年发明基于连续比特位的方法来测量眼图之前,1962年-2002的40年间,眼图的测量是基于采样示波器的传统方法。 您相信吗?在长期的培训和技术支持工作中,我们发现很少有工程师能完整地准确地理解眼图的测量原理。很多工程师们往往满足于各种标准权威机构提供的测量向导,Step by Step,满足于用“万能”的Sigtest软件测量出来的眼图给出的Pass or Fail结论。这种对于Sigtest的迷恋甚至使有些工程师忘记了眼图是可以作为一项重要的调试工具的。 在我2004年来力科面试前,我也从来没有听说过眼图。那天面试时,老板反复强调力科在眼图测量方面的优势,但我不知所云。之后我Google“眼图”,看到网络上有限的几篇文章,但仍不知所云。刚刚我再次Google“眼图”,仍然没有找到哪怕一篇文章讲透了眼图测量。 网络上搜到的关于眼图的文字,出现频率最多的如下,表达得似乎非常地专业,但却在拒绝我们的阅读兴趣。 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。

为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 如果将输入波形输入示波器的Y轴,并且当示波器的水平扫描周期和码元定时同步时,适当调整相位,使波形的中心对准取样时刻,在示波器上显示的图形很象人的眼睛,因此被称为眼图(Eye Map)。 二进制信号传输时的眼图只有一只“眼睛”,当传输三元码时,会显示两只“眼睛”。眼图是由各段码元波形叠加而成的,眼图中央的垂直线表示最佳抽样时刻,位于两峰值中间的水平线是判决门限电平。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码间串扰时,波形失真,码元不完全重合,眼图的迹线就会不清晰,引起“眼”部分闭合。若再加上噪声的影响,则使眼图的线条变得模糊,“眼”开启得小了,因此,“眼”张开的大小表示了失真的程度,反映了码间串扰的强弱。由此可知,眼图能直观地表明码间串扰和噪声的影响,可评价一个基带传输系统性能的优劣。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。 通常眼图可以用下图所示的图形来描述,由此图可以看出: (1)眼图张开的宽度决定了接收波形可以不受串扰影响而抽样再生的时间间隔。显然,最佳抽样时刻应选在眼睛张开最大的时刻。 (2)眼图斜边的斜率,表示系统对定时抖动(或误差)的灵敏度,斜率越大,系统对定时抖动越敏感。

FPGA眼图

高速FPGA系统的信号完整性测试和分析 张楷 泰克科技(中国)有限公司 摘要:随着FPGA器件的速度和容量日益提高,各种高速的并行和串行接口都广泛应用在FPGA上,其中典型的高速串行总线速率超过1Gb/s,这为设计和应用人员提供了极大的灵活性,同时对于FPGA IO的测试和验证也带来了新的挑战。本文针对FPGA中各种高速串行总线的信号,提供全面的信号完整性测试和分析方法。 关键词:FPGA,高速串行信号, 信号完整性, 抖动,眼图测试,采样示波器 1. 引言 随着FPGA的设计速度和容量的明显增长,当前流行的FPGA芯片都提供高速总线,例如DDR内存总线,PCI-X总线、SPI总线;针对超高速的数据传输,FPGA通过集成SerDes提供高速串行IO,支持各种诸如PCI-E、GBE、XAUI等高速串行总线协议,为各种不同标准的高速传输提供极大的灵活性。典型的高速FPGA器件提供的每一条物理链路的速度从200Mbps到高达10Gbps,高速IO的测试和验证更成为传统专注于FPGA内部逻辑设计的设计人员面临的巨大挑战。这些挑战使设计人员非常容易会把绝大部分设计周期时间放在调试和检验设计上。 为了加速对于FPGA中高速并行和串行总线的调试和验证,它需要使用新的高速信号完整性测试工具和分析方法。本文根据当前FPGA的高速总线测试和分析,提供了最新的方法和工具。 图1是一个典型FPGA的提供的各种高速接口。对于这些速度从200M到高达10G的高速总线,信号完整性的测试和分析是保证设计成功的基础和关键。 图1 典型FPGA的提供的各种高速接口

2. 高速串行总线眼图测试 对于采用内嵌SERDES电路的FPGA芯片,其高速串行信号进行测试和验证,最基本的工具是通过示波器进行对其眼图测试。因为眼图能够非常直观的反映一条被测信号路径上的整体信号质量问题,包括信号的抖动量大小(眼宽)以及幅度的大小(眼高)等重要信息。图2是一个高速数据信号的眼图形成的过程。 图2 眼图的形成过程 从眼图的形成过程可以看出,一个NRZ编码的高速数据无论传输何种码流,都可以看作一个重复信号,经过一定时间和样本数的累计,它反映整个传输链路上的总体信号质量。 3. 选择合适的眼图测试工具 3.1 示波器带宽的要求 示波器是进行高速串行信号眼图测试的首选工具。无论是用高速实时示波器还是采样示波器(Sampling Scope)得到眼图,带宽是对示波器的基本要求。以一个NRZ编码的高速串行总线为例,它理想的波形是一个方波信号,方波信号是由它的基波(正弦波)和奇次谐波(3次,5次,7次…)组成。根据信号的传输速率和上升时间,选择尽量高带宽和最快上升时间的示波器,这样测试结果保留更多的谐波分量,构建高精度的眼图测试结果。 示波器带宽反映了对被测信号幅度上的衰减,而示波器上升时间决定了对被测信号上升时间测试的误差。经典的示波器带宽和上升时间的关系为:带宽×上升时间=0.35-0.45,0.35-0.45为常系数。每一个高性能示波器除了提供带宽的指标外,还会给出上升时间,表征其对阶跃信号的测试能力和精度。示波器测试结果的经验公式为: 高速串行数据(NRZ编码)提供一般为数据率,其最高的基频为比特率的一半。即假设给定一个NRZ编码的串行信号,码型为时钟码型(即传输的数据为1-0-1-0-1),从频域的角度观测该信号,它成为一个基波为数据率一半的方波信号,这个时钟码型是数据变化最快的情况。以泰克TDS6154C 大于15GHz带宽的实时示波器为例,它可以测试保留6.25Gb/s (2×XAUI)信号的五次谐波,以及10Gb/s (XFI)信号的三次谐波。下表列出了不同的高速串行总线在不同测

时钟信号质量测试用例5.6

1.目的 测量手机各时钟信号是否符合设计规范,以确保手机各项性能稳定可靠。 2.适用范围 适用于新开发手机产品在试产阶段的评测。 3.测试准备和说明: 3.1程控电源、数字示波器、频率计、原理图及PCB丝印图、原配耳机、SIM卡、TF卡、 烙铁、细导线若干、蓝牙耳机; 3.2测试结果如有必要需附测试波形图。 4.测试过程: 4.1 实时钟32.768KHz时钟测试(测试用例编号: 5. 6.1) 4.1.1测试条件: 被测机开壳,装SIM卡、TF卡开机。 4.1.2 测试步骤: 1)从原理图上找到32.768KHz晶体位置,频率计探头负极接地,正极接晶体XOUT 端,频率计(10M档位)读数即为晶体频率; 2)示波器采集模式设为取样,余辉时间设置为5秒; 3)通道耦合选取直流模式,档位设定为100mV,时间标度设置为10.0us; 4)按测量键选取测量频率,上升时间,下降时间,峰值电压,占空比等; 5)按测试说明要求,在摄像状态选取一个半周期的完整波形,按运行/停止键抓取波形,测量读取数据并按Save键保存波形。 4.1.3 预期结果: 测试项目参考值 电压峰值690-750mV 毛刺0 频偏±20ppm 抖动幅度0 占空比50% 4.2 主时钟26MHz时钟测试(测试用例编号: 5. 6.2) 4.2.1测试条件: 被测机开壳,被测机开壳,装SIM卡、TF卡开机。 4.2.2 测试步骤: 1)从原理图上找到26M晶体位置,频率计探头负极接地,正极接晶体XOUT端,频 率计(120M档位)读数为即晶体频率; 2)示波器采集模式设为取样,余辉时间设置为5秒; 3)通道耦合选取直流模式,档位设定为500mV,时间标度设置为400ns;

硬件信号质量SI测试规范

目录 1引言 (4) 2适用范围 (4) 3信号质量测试概述 (4) 3.1信号完整性 (4) 3.2信号质量 (5) 4信号质量测试条件 (10) 4.1单板/系统工作条件: (10) 4.2信号质量测试人员要求: (10) 4.3示波器选择与使用要求: (10) 4.4探头选择与使用要求 (11) 4.5测试点的选择 (12) 5信号质量测试通用标准 (12) 5.1信号电平简述: (12) 5.2合格标准 (13) 5.3信号质量测试结果分析注意事项 (15) 6信号质量测试方法 (17) 6.1电源信号质量测试 (17) 6.1.1简述 (17) 6.1.2测试项目 (17) 6.1.3测试方法 (17) 6.2时钟信号质量测试 (24) 6.2.1简述 (24) 6.2.2测试方法 (24) 6.2.3测试指标与合格标准 (24) 6.2.4注意事项 (26) 6.3复位信号质量测试 (27) 6.3.1简述 (27) 6.3.2测试方法 (27) 6.3.3测试项目与合格标准 (27) 6.3.4注意事项 (29) 6.3.5测试示例 (29) 6.4数据、地址信号质量测试 (31) 6.4.1简述 (31)

6.4.2测试方法 (31) 6.4.3测试项目 (32) 6.4.4测试示例: (32) 6.5差分信号质量测试 (34) 6.5.1简述 (34) 6.5.2测试项目 (34) 6.5.3测试方法 (34) 6.5.4合格标准 (36) 6.5.5注意事项 (40) 6.5.6测试示例 (40) 6.6串行信号质量测试 (41) 6.6.1概述 (41) 6.6.2测试项目 (42) 6.6.3测试方法 (43) 6.6.4合格标准 (44) 7信号质量测试CHECKLIST (47) 8测试系统接地说明 (49) 9引用标准和参考资料................................. 错误!未定义书签。

光纤通信系统的眼图测试实验

太原理工大学现代科技学院 光纤通信课程实验报告 专业班级 学号 姓名 指导教师

实验名称 光纤通信系统的眼图测试实验 同组人 专业班级 学号 姓名 成绩 实验三 光纤通信系统的眼图测试实验 一、实验目的 1、了解眼图的形成过程 2、掌握光纤通信系统中眼图的测试方法 二、实验内容 1、测量数字光纤通信系统传输各种数字信号的眼图 2、观察系统眼图,并通过眼图来分析系统的性能 三、实验仪器 1、ZY12OFCom13BG3型光纤通信原理实验箱 1台 2、20MHz 双踪模拟示波器 1台 3、万用表 1台 4、FC/PC-FC/PC 单模光跳线 1根 5、850nm 光发端机和光收端机(可选) 1套 6、ST/PC-ST/PC 多模光跳线(可选) 1根 四、实验原理 眼图是衡量数字光纤通信系统数据传输特性的简单而又有效的方法。眼图可以在时域中测 量,并且可以用示波器直观的显示出来。图20-1是测量眼图的系统框图。测量时,将“伪随机码发生器”输出的伪随机码加在被测数字光纤通信系统的输入端,该被测系统的输出端接至示波器的垂直输入,用位定时信号(由伪随机码发生器提供)作外同步,在示波器水平输入用 数据频率进行触发扫描。这样,在示波器的屏幕上就可以显示出被测系统的眼图。 图1、眼图测试系统框图 ……………………………………装………………………………………订…………………………………………线………………………………………

伪随机脉冲序列是由n 比特长,2n 种不同组合所构成的序列。例如,由n=2比特长的4种 不同有组合、n=3比特长的8种不同的组合、n=4比特长16种不同的组合组成,直到伪随机码发生器所规定的极限值为止,在产生这个极限值以后,数据序列就开始重复,但它用作为测试的数据信号,则具有随机性。如图20-2所示的眼图,是由3比特长8种组合码叠加而成,示 波器上显示的眼图就是这种叠加的结果。 分析眼图图形,可以知道被测系统的性能,下面用图20-3所示的形状规则的眼图进行分析: 1、当眼开度V V V ?-为最大时刻,则是对接收到的信号进行判决的最佳时刻,无码间干扰、 信号无畸变时的眼开度为100%。 2、由于码间干扰,信号畸变使眼开度减小,眼皮厚度V 增加,无畸变眼图的眼皮厚度应该等于零。 3、系统无畸变眼图交叉点发散角 b T T ?应该等于零。 4、系统信道的任何非线性都将使眼图出现不对称,无畸变眼图的正、负极性不对称度 5、系统的定时抖动(也称为边缘抖动或相位失真)是由光收端机的噪声和光纤中的脉冲 失真产生的,如果在“可对信号进行判决的时间间隔T b ”的正中对信号进行判决,那么在阈值电平处的失真量ΔT 就表示抖动的大小。因此,系统的定时抖动用下式计算:定时抖动= …………………………………装……………………………………订………………………………………线……………………………………………

HD-SDI信号质量标准与测试诊断方法

1. SDI信号质量标准与测试诊断方法 2014-10-16 15:12:18编辑:烦高来源:数字音视工程网 在高速SDI信号的传输和转换过程中,由于硬件设备的性能及安装水平的不同,导致SDI信号质量下降,造成高清视频信号接收错误。通常需要对SDI信号进行测试,并根... 在高速SDI信号的传输和转换过程中,由于硬件设备的性能及安装水平的不同,导致SDI信号质量下降,造成高清视频信号接收错误。通常需要对SDI信号进行测试,并根据测试结果判断可能出现问题的原因,从而保证高清系统中每条链路的性能。 在SDI信号出现之前,高清视频信号采用模拟信号进行传输。模拟信号在传输和转换过程中非常容易出现质量下降,通常采用高速示波器进行波形采样测试。通常需要测试的指标有色条幅度、同步振幅和时间、噪声、频率响应、多波群、非线性度、通道延时、瞬时特性等。 图1 模拟视频信号测试波形 而SDI信号采用模数转换和高速串行编码技术,使用一根同轴电缆即可传输所有数字视频及音频信息。

图2 SDI信号生成原理简图 由于SDI为数字信号,信号的生成原理和特性不同于模拟视频信号,因此SDI信号测试内容的关注点和模拟视频信号测试基本不同。如下所示为SDI信号的几个关键特性:电平幅度、抖动、上升/下降时间、单元间隔(周期)。 图3 SDI数字信号特性 按照SMPTE 259M、SMPTE 292M、SMPTE424M的规定,SD-SDI、HD-SDI、3G-SDI 信号质量标准(包含幅度、过冲、上升/下降时间、抖动时间、抖动排列等)各不相同。而且,HD-SDI和3G-SDI高速信号对上升/下降时间的要求达到了几十到几百ps量级。

眼图分析

清风醉明月 slp_art 随笔- 42 文章- 1 评论- 20 博客园首页新随笔联系管理订阅 眼图——概念与测量(摘记) 中文名称: 眼图 英文名称: eye diagram;eye pattern 定义: 示波器屏幕上所显示的数字通信符号,由许多波形部分重叠形成,其形状类似“眼”的图形。“眼”大表示系统传输特性好;“眼”小表示系统中存在符号间干扰。 一.概述 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码间串扰时,波形失真,码元不完全重合,眼图的迹线就会不清晰,引起“眼”部分闭合。若再加上噪声的影响,则使眼图的线条变得模糊,“眼”开启得小了,因此,“眼”张开的大小表示了失真的程度,反映了码间串扰的强弱。由此可知,眼图能直观地表明码间串扰和噪声的影响,可评价一个基带传输系统性能的优劣。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。通常眼图可以用下图所示的图形来描述,由此图可以看出:

(1)眼图张开的宽度决定了接收波形可以不受串扰影响而抽样再生的时间间隔。显然,最佳抽样时刻应选在眼睛张开最大的时刻。 (2)眼图斜边的斜率,表示系统对定时抖动(或误差)的灵敏度,斜率越大,系统对定时抖动越敏感。 (3)眼图左(右)角阴影部分的水平宽度表示信号零点的变化范围,称为零点失真量,在许多接收设备中,定时信息是由信号零点位置来提取的,对于这种设备零点失真量很重要。 (4)在抽样时刻,阴影区的垂直宽度表示最大信号失真量。 (5)在抽样时刻上、下两阴影区间隔的一半是最小噪声容限,噪声瞬时值超过它就有可能发生错误判决。 (6)横轴对应判决门限电平。” 二、眼图的一些基本概念 —“什么是眼图?” “眼图就是象眼睛一样形状的图形。 图五眼图定义” 眼图是用余辉方式累积叠加显示采集到的串行信号的比特位的结果,叠加后的图形形状看起来和眼睛很像,故名眼图。眼图上通常显示的是1.25UI的时间窗口。眼睛的形状各种各样,眼图的形状也各种各样。通过眼图的形状特点可以快速地判断信号的质量。 图六的眼图有“双眼皮”,可判断出信号可能有串扰或预(去)加重。 图六“双眼皮”眼图

眼图测量方法B

三、眼图测量方法 之前谈到,眼图测量方法有两种:2002年以前的传统眼图测量方法和2002年之后力科发明的现代眼图测量方法。传统眼图测量方法可以用两个英文关键词来表示:“Triggered Eye”和“Single‐Bit Eye”。现代眼图测量方法用另外两个英文关键词来表示:“Continuous‐Bit Eye”和“Single‐Shot Eye”。传统眼图测量方法用中文来理解是八个字:“同步触发+叠加显示”,现代眼图测量方法用中文来理解也是八个字:“同步切割+叠加显示”。两种方法的差别就四个字:传统的是用触发的方法,现代的是用切割的方法。“同步”是准确测量眼图的关键,传统方法和现代方法同步的方法是不一样的。“叠加显示”就是用模拟余辉的方法不断累积显示。 传统的眼图方法就是同步触发一次,然后叠加一次。每触发一次,眼图上增加了一个UI,每个UI的数据是相对于触发点排列的,因此是“Single‐Bit Eye”,每触发一次眼图上只增加了一个比特位。图一形象表示了这种方法形成眼图的过程。 图一传统眼图测量方法的原理 传统方法的第一个缺点就是效率太低。对于现在的高速信号如PCI‐Express Gen2,PCI‐SIG 要求测量1百万个UI的眼图,用传统方法就需要触发1百万次,这可能需要几个小时才能测量完。第二个缺点是,由于每次触发只能叠加一个UI,形成1百万个UI的眼图就需要触发1百万次,这样不断触发的过程中必然将示波器本身的触发抖动也引入到了眼图上。对于2.5GBbps以上的高速信号,这种触发抖动是不可忽略的。 如何同步触发,也就是说如何使每个UI的数据相对于触发点排列?也有两种方法,一种方法是在被测电路板上找到和串行数据同步的时钟,将此时钟引到示波器作为触发源,时钟的边沿作为触发的条件。另外一种方法是将被测的串行信号同时输入到示波器的输入通道和硬件时钟恢复电路(CDR)通道,硬件CDR恢复出串行数据里内嵌的时钟作为触发源。这种同

数字光纤通信系统信号眼图测试

实验二数字光纤通信系统信号眼图测试 一.实验目的 1.了解眼图产生的基础,根据眼图测量数字通信系统性能的原理; 2.学习通过数字示波器调试、观测眼图; 3.掌握判别眼图质量的指标; 4.熟练使用数字示波器和误码仪。 二.实验原理 眼图是估计数字传输系统性能的一种十分有效的实验方法。这种方法已广泛应用于数字通信系统,在光纤数字通信中也是评价系统性能的重要实验方法。眼图是在时域进行的用示波器显示二进制数字信号波形的失真效应的测量方法。图2.1是测量眼图的装置图。由AV5233C误码仪产生一定长度的伪随机二进制数据流(AMI码、HDB3码、RZ 码、NRZ码)调制单模光产生相应的伪随机数据光脉冲并通过光纤活动连接器注入单模光纤,经过光纤传输后,再与光接收机相接。光接收机将从光纤传输的光脉冲变为电脉冲,并输入到AV4451(500MHz)示波器,示波器显示的扫描图形与人眼相似,因此称为眼图。 用眼图法测量系统时应有多种字型,可以采用各比特位上0和1出现的概率相等的随机数字信号进行测试。AV5233C误码仪用来产生伪随机数字序列信号。在这里“伪随机”的意义是伪随机码型发生器产生N比特长度的随机二进制数字信号是数字序列在N 比特后发生重复,并不是测试时间内整个数字序列都是随机的,因此称为“伪随机”。伪随机序列如果由2比特位组成,则共有四种组合,3比特数字信号有8种组合,N比特数字信号有2N个组合。伪随机数字信号的长度为2N-1,这种选择可保证字型不与数据率相关。例如N可取7、10、15、23、31等。如果只考虑3比特非归零码,应有如图2.2所示的8种组合。将这8种组合同时叠加,就可形成如图2.3所示的眼图。 图2.1 眼图测量装置

现代眼图测量方法和data pattern

***Eyediagram ****传统眼图生成 硬件CDR恢复出理想时钟,时钟上升沿作为触发源,触发一次,叠加一个UI。 ****现代眼图生成 同步切割,叠加显示:示波器捕获一连串数据,用软件PLL恢复出时钟,用恢复出来的时钟按照比特位进行切割,切割一次叠加一次。

****CJPAT 在8B/10B编码之前,CJPA T数据包构成如下: Preamble/SFD: 55 55 55 55 55 55 55 D5 Modified JPAT sequence: 7E for 580 bytes: Low density transition pattern B5 for 172 bytes: high density transition pattern 7E for 580 bytes: Low density transition pattern B5 for 172 bytes: high density transition pattern CRC F3 CF F9 0F IPG 00 00 00 00 00 00 00 00 00 00 00 00 END 共1528byte,经过8B10B编码成为15280bit At 6.144 Gbps, the UI is about 162.76 ps CJPAT duration/pattern: 162.76 ps * 15280 = 2.487 us/pattern ****PRBS Pseudo Random Binary Sequence:伪随机二进制序列。0和1在周期内部是随机出现的(即码流生成函数和初始码确定后,码流的顺序是固定的),但各个周期中的码流却是完全相同的。 The sequence is not truly random in that it is completely determined by a relatively small set of initial values, called the PRNG's state, which includes a truly random seed.(这说明每个周期的初始码不是固定的)在高速信号链路进行无码测试时,基本上都是用PRBS码模拟真实的码流环境。因为PRBS的频谱特征与白噪声非常接近。

单板电源信号质量测试

单板电源信号质量测试 1.1.1简述 本文定义的是单板电源工作时输出信号参数的测试方法和要求,对于AC-DC电源测试方法参考《电源测试规范》,电源自身有相应参数,但电源都需与负载配合使用,在实际电源应用于系统时,往往与电源自身参数差异较大,所以必须关注电源在实际工作过程的每一个输出参数是否符合要求,从而保证产品(系统)的正常工作。 本章节测试范围包括电源芯片DC/DC、LDO及芯片的电源管脚。 电源测试涉及的基本概念: 电压任意两点之间的电位差称为这两点间的电压,单位伏特 电源纹波叠加在直流稳定量上的交流分量就称之为纹波,由于直流稳定电 源一般是由交流电源经整流稳压等环节而形成的,这就不可避免 地在直流稳定量中多少带有一些交流成份。 开关电源纹波主要是与输入频率和开关频率同步的成分,用峰-峰 值 表示; 但想完全消除纹波,似乎是很难办到的,我们只有将其控制在一 个允许的范围之内,不对环境和设备产生影响就算达到了我们的 目的。 电源噪声开关电源噪声主要是指在DC输出端除纹波以外的高频成分,用峰-峰值表示 噪声的产生一般可分为两大类:一是开关电源内部元件形成的干 扰;二是由于外界因素影响而使开关电源产生的干扰,这涉及到 人为因素和自然界的因素。 电源纹波噪由“纹波”、“噪声”二者叠加在一起,用峰-峰值表示。

声 缓启动电路为实现单板热插拔功能,对电源系统进行的保护性设计的电路。 冲击电流冲击电流一般是指设备在上电一瞬间在其内部产生的非周期性瞬 态大电流,主要体现在感性和容性负载中。 由于电容器在瞬态时可以看成是短路的,当开关电源上电时,会 产生非常大的冲击电流,冲击电流的幅度要比稳态工作电流大很 多,如对冲击电流不加以限制,不但会烧坏保险丝,烧毁接插件, 还会由于共同输入阻抗而干扰附近的电器设备。 电源均流不同的输入电源同时承担同一负载时平均分配其输出电流。 电源纹波、噪声图示 图中A: Ripple(波纹)+ Noise(噪声) B: Ripple C: Switch(开关)Ripple+Noise D: Switch Ripple E: AC Ripple F: AC Cycle Time(AC周期时间) G: Switch Cycle Time 1.1.2测试项目 1) 电源电压精度 2) 电源纹波噪声 3) 电压上下电波形 4) 缓启动电路缓启时间

眼图分析

眼图测试及其疑难问题探讨 关键词:DWDM,眼图,城域网,MAN 摘要:目前,在长途干线和城域网中,密集波分复用(DWDM)系统的应用越来越多,对DWDM 系统的光接口测试要求也越来越高,其中包括光发送信号的眼图测试。在实际进行眼图测试时,经常遇到不符合标准模板的情况,在不断实践中发现,其中大部分是因为测试方法不完善造成的误判断,只有小部分真正不符合ITU-T规范。文章介绍正确测试眼图的要点。 1、码间串扰的形成 1.1光纤线路码 在光纤数字传输中,一般不直接传输由电端机传送来的数字信号,而是经过码型变换,变换成适合在光纤数字传输系统中传输的光纤线路码(简称线路码)。 有多种线路码型,最常用的有mBnB分组码、插入比特码和简单扰码。在选择线路码时,不仅要考虑光纤的传输特性,还要考虑光电器件的特性。一般来说,由于光电器件都有一定的非线性,因此采用脉冲的“有”、“无”来表示“1”和“0”的二进制码要方便得多。但是简单的二进制信号有三个实际问题需要解决,否则无法取得良好效果。a)不能有长连“0”或长连“1”出现。因为长连“0”和长连“1”会使定时信息消失,给再生中继器和终端接收机的定时提取带来困难。b)简单的二进制码中含有直流成分,“0”、“1”码出现个数的随机变化会使直流成分的大小也随机变化。目前,在光接收机中普遍采用交流耦合,直流成分的变化会引起信号基线浮动,给判决再生带来困难。c)简单的二进制信号在业务状态下无法监测线路误码率。为此,在光纤传输之前,需将简单二进制信号变换成适合光纤传输系统的光纤线

路码型。CCITT最终采用简单扰码方式(如RZ、NRZ码),目前又有基于RZ码新的编码方式,如CS-RZ、DCS-RZ、CRZ、D-RZ、DPSK-RZ码等。 1.2线性网络的无失真传输条件 密集波分复用(DWDM)的工作原理是:发送端将不同波长的光信号通过光合波器合成一束光,送入光纤中进行传输;在接收端由光分波器将这些不同波长的光信号区分开来,再经过光电转换送入线路终端设备。这个过程既包括光通道也包括电通道。 对于光通道来说,主要是光纤的色散和非线性效应引起传输的光脉冲展宽,导致“0”、“1”判决出错,增加了传输误码率。通过运用色散补偿光纤、色散斜率补偿技术等色散管理来降低光纤的色散。对于光纤非线性效应,一般可通过降低入纤功率,采用新型大孔径光纤、喇曼放大、奇偶信道偏振复用等方法加以抑制。采用特殊的码型调制技术也可有效提高光脉冲抵抗非线性效应的能力,增加非线性受限传输距离,从而达到光通道的无失真传输这种理想化的状态。 对于电通道来说,实际传输中无法满足无失真传输条件,特别是由于信道频率特性不理想,使矩形脉冲在经过传输后有明显的上升时间和下降时间,会使波形有明显展宽。每个符号(码元)在时间上前后展宽会对其前后符号(码元)造成干扰,通常把这类干扰称为符号(或码元)间干扰,它会引起传输系统的误码率恶化。 1.3时域均衡 系统线性失真引起的符号间干扰是影响传输质量的主要因素。线性失真的主要原因是发送滤波器、接收滤波器及信道共同组成的波形形成系统的传递函数偏离理想状态。在不考虑噪声影响时,大多数高、中速数字数据传输设备的判决可靠性都建立在消除取样点的符号间干扰的基础上,按此要求建立的线性失真补偿系统称为时域均衡器,其原理是利用接收波形本身进行补偿,消除取样点的符号间干扰,提高判决的可靠性。 时域均衡系统结构如图1所示。 图1时域均衡系统结构

眼图——概念与测量

眼图——概念与测量 中文名称:眼图 英文名称:eye diagram;eye pattern 定义: 示波器屏幕上所显示的数字通信符号,由许多波形部分重叠形成,其形状类似“眼”的图形。“眼”大表示系统传输特性好;“眼”小表示系统中存在符号间干扰。 一.概述 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码间串扰时,波形失真,码元不完全重合,眼图的迹线就会不清晰,引起“眼”部分闭合。若再加上噪声的影响,则使眼图的线条变得模糊,“眼”开启得小了,因此,“眼”张开的大小表示了失真的程度,反映了码间串扰的强弱。由此可知,眼图能直观地表明码间串扰和噪声的影响,可评价一个基带传输系统性能的优劣。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。通常眼图可以用下图所示的图形来描述,由此图可以看出: 眼图的重要性质 (1)眼图张开的宽度决定了接收波形可以不受串扰影响而抽样再生的时间间隔。显然,最佳抽样时刻应选在眼睛张开最大的时刻。 (2)眼图斜边的斜率,表示系统对定时抖动(或误差)的灵敏度,斜率越大,系统对定时抖动越敏感。 (3)眼图左(右)角阴影部分的水平宽度表示信号零点的变化范围,称为零点失真量,在许多接收设备中,定时信息是由信号零点位置来提取的,对于这种设备零点失真量很重要。 (4)在抽样时刻,阴影区的垂直宽度表示最大信号失真量。 (5)在抽样时刻上、下两阴影区间隔的一半是最小噪声容限,噪声瞬时值超过它就有可能发生错误判决。 (6)横轴对应判决门限电平。 ”

声音信号质量评测方法及评测软件的实现

声音信号质量评测方法及评测软件的实现 摘要:介绍了声音质量的主观评价方法和客观评价方法,同时基于信噪比的评估参数实现了声音质量的评测软件。 关键词:主观评价方法客观评价方法信噪比 1 引言 声音的质量介于如下两者之间:(1)支持可识别语音通信的最低音质;(2)支持声音保真和美学享受的最高音质。 声音质量评价根据评价主体的不同可分为主观评价和客观评价两种。人作为评价主体,凭着主观感受直接给听到的声音文件进行质量打分,这是主观评价,它真实地反映了声音质量,但是面对工作量巨大的需要评测的大量的声音文件,这种方法费时费力,而且若受到测试人员主观情绪的影响,测量结果的可靠性会受到影响。因此,有必要设计一个质量评估工具来辅助评测声音质量。 研究声音质量客观评价的目的不是用客观评价来完全代替主观评价,因为他不能反映人对声音质量的全部感受,在客观评价辅助测评的同时,主观评价仍起着举足轻重的作用,下面介绍的主观测试和客观测试主要是语音信号的主观测试和客观测试。 2 主观测试和客观测试的介绍 2.1 主观测试 在20世纪90年代,通过主观测试制定了声音信号的质量评估方法,即要求一组测试人员对给定的测试信号的质量打分,这些主观

测试方法详见于itu-t p.800.1标准当中。其中绝对种类定级(absolute category rating,acr)测量是标准中最广泛地被大家知道的的主观类测量方法,它要求所有的测试人员收听相同的语音信号,然后按照从1~5的5级损伤指标对收听到的声音质量打分(见表1)。 acr测量对测量的人数、环境、条件都有所要求,测量的人数至少在16人以上,要求一个安静的环境,可控的条件,这样在所有的测试人员给出得分之后,取它们的一般或平均意见得分 (mean opinion score, mos),最后该mos值就是声音的质量情况,显然mos值越大,声音的质量越好。 itu-t p.800标准中也讨论了其他的主观评价方法,如劣化种类评定值(dcr)和对照种类评定值(ccr),dcr方法是让评定主体先后听到参考语音和失真语音,然后根据感觉对听觉失真评定,评定标准从1分(非常刺耳)到5分(感觉不到失真)。ccr方法是比较听到的参考语音和失真语音,评定失真语音的质量比参考语音好或坏多少,评定标准从-3分(非常差)到3分(非常好)。 主观质量评估有如下几个限制条件:主观评测的人数量应足够多,以便形成有意义的统计;主观评测应具有相同的控制特性;环境条件应该是一致的;测试应该反复进行,这些问题使得主观测试成本太高,费时也太长。人们就寻求一些客观评价方法来判别声音质量。

眼图观察实验

实验九 眼图观察实验 实 验 内 容 1、PN 码/CMI 码的眼图。 2、噪声、码间干扰对眼图的影响。 3、眼图的垂直张开度与水平张开度。 一、实验目的 1、熟悉基带信号的眼图观察方法。 2、学会用眼图判断数字信道的传输质量。 3、分析眼图的垂直张开度与水平张开度。 二、眼图观察电路 眼图是在同步状态下,各个周期的随机信码波形,重叠在一起所构成的组合波形。其形状类似一只眼睛故名眼图。其形成是由于人眼的视觉暂留作用把随机信号在荧屏上反复扫描的波形复合起来。眼图是用来观察数字传输系统是否存在码间干扰的最简单、直观的方法。将示波器置于外同步状态,平台的输出时钟接往示波器的通道1,伪随机码接往示波器的通道2,缓慢调整示波器的“同步”旋钮,当时钟与信码的相位同步时即可在示波器屏幕上观察到眼图。眼图的垂直张开度反映信码幅度的变化量,可用来表示系统的抗噪声能力,垂直张开度越大,抗噪声能力越强。水平张开度则反映信码的码间干扰。水平张开度越大,表示信码的码间干拢越小。垂直张开度与水平张开度越大,越有利于信码再生器的判决,还原出来信码的误码率就越小。 垂直张开度E 0= 2 1V V 水平长开度E1=21 t t 图9-1 模型化眼图 平台上专门设置有眼图观察电路,它是一级由运算放大器和RC 网络组成的低通滤波 器,把输入数字信号的高频分量滤除,得到一个模拟的升余弦波,以获得眼图观察效果。输入的PN 码数字信号由U101 CDLD 可编程模块二内的数字信号产生电路产生,经过 U101 PN2 2

CMIOUT FPGA/CPLD模块选择开关K01和PN码/CMI码选择开关K02的3~2送入眼图观察电路。在进行眼图分析时还可用跳线选择其它数字信号,输入眼图观察电路。图9-2是眼图观察电路(包括信号源在内)的方框图。图9-3是眼图观察电路图。 图9-2中U301、U302 FPGA可编程模块是供学生编程使用的,学生可以在计算机上编程用软件下载方法在U302中产生各种数字信号,信号输出的引脚已连接FPGA/CPLD可编程模块选择开关K01的对应引脚。

泰克DSA71604C示波器眼图测试V1.0

泰克DSA71604C示波器眼图测试 泰克DSA71604C示波器测试眼图目前有2种方法;一种是利用余辉来进行测试;第二种是利用示波器内置的DPO-JET 软件来进行测试,本文以第二种测试方法为主做个简单的介绍,以求抛砖引玉; 用示波器探头测试到信号,然后点击示波器面板上run/stop键停止测试,再点击示波器软件菜单File—>Save as—>Waveform,弹出Save as菜单,此时在Source这一栏选择刚才测试用的示波器探头所在的channel(这里我们示波器测试探头为CH1),然后在Save in:Oscilloscope Memory这一栏Ref1,Ref2,Ref3,Ref4中随便选择一个,在这里我们选择Ref3,然后点击Save; 然后关闭CH1,点击示波器软件菜单File—>Reference Waveform Controls,此时会在示波器屏幕底部出现一个Reference面板(figure 1),并且在Reference这一栏会自动选择刚才存储在示波器内存里的波形,但此时波形是关闭的,需要点击Display开关将OFF变成ON,这时示波器才会调出刚才保存在示波器内存里的波形,点击Reference 面板右侧的X关闭Reference面板; figure 1

接下来就开始启动DPO-JET软件,点击Analyze > Jitter and Eye Analysis > One Touch Jitter,DPO-JET会自动对选择的波形进行分析,并自动将分析结果转化为图形(figure 2) figure 2

此时可看到下列测试选项(figure 3),在select这一栏有Period/ Freq,jitter,time,eye,ampl这五个子项,每个 子项又包含了若干细的测试选项,比如现在可以在Period/Freq里面加入Freq测试项,然后点击Recalc 按钮重新对波形进行分析画图,现在就可以在result这一栏里看到测出的Freq值(figure 4),此时测出为5.3985GHz figure 3

眼图观察测量实验

实验12 眼图观察测量实验 一、实验目的 1.学会观察眼图及其分析方法,调整传输滤波器特性。 二、实验仪器 1. 眼图观察电路(底板右下侧) 2. 时钟与基带数据发生模块,位号:G 3. 噪声模块,位号E 4. 100M双踪示波器1台 三、实验原理 在整个通信系统中,通常利用眼图方法估计和改善(通过调整)传输系统性能。 我们知道,在实际的通信系统中,数字信号经过非理想的传输系统必定要产生畸变,也会引入噪声和干扰,也就是说,总是在不同程度上存在码间串扰。在码间串扰和噪声同时存在情况下,系统性能很难进行定量的分析,常常甚至得不到近似结果。为了便于评价实际系统的性能,常用观察眼图进行分析。 眼图可以直观地估价系统的码间干扰和噪声的影响,是一种常用的测试手段。 什么是眼图? 所谓“眼图”,就是由解调后经过接收滤波器输出的基带信号,以码元时钟作为同步信号,基带信号一个或少数码元周期反复扫描在示波器屏幕上显示的波形称为眼图。干扰和失真所产生的传输畸变,可以在眼图上清楚地显示出来。因为对于二进制信号波形,它很像人的眼睛故称眼图。 在图12-1中画出两个无噪声的波形和相应的“眼图”,一个无失真,另一个有失真(码间串扰)。 图12-1中可以看出,眼图是由虚线分段的接收码元波形叠加组成的。眼图中央的垂直线表示取样时刻。当波形没有失真时,眼图是一只“完全张开”的眼睛。在取样时刻,所有可能的取样值仅有两个:+1或-1。当波形有失真时,“眼睛”部分闭合,取样时刻信号取值就分布在小于+1或大于-1附近。这样,保证正确判决所容许的噪声电平就减小了。换言之,在随机噪声的功率给定时,将使误码

率增加。“眼睛”张开的大小就表明失真的严重程度。 为便于说明眼图和系统性能的关系,我们将它简化成图12-2的形状。 由此图可以看出:(1)最佳取样时刻应选择在眼睛张开最大的时刻;(2)眼睛闭合的速率,即眼图斜边的斜率,表示系统对定时误差灵敏的程度,斜边愈陡,对定位误差愈敏感;(3)在取样时刻上,阴影区的垂直宽度表示最大信号失真量; (4)在取样时刻上,上下两阴影区的间隔垂直距离之半是最小噪声容限,噪声瞬时值超过它就有可能发生错误判决;(5) 阴影区与横轴相交的区间表示零点位置变动范围,它对于从信号平均零点位置提取定时信息的解调器有重要影响。实验室理想状态下的眼图如图12-3 所示。 衡量眼图质量的几个重要参数有: 1.眼图开启度(U-2Δ U)/U 指在最佳抽样点处眼图幅度“张开”的程度。无畸变眼图的开启度应为100%。

Multidrop 连接模式下的 LVDS 信号质量测试

Multidrop连接模式下的LVDS信号质量测试 薛俊东,梁昊,陈一新,虞孝麒,周永钊 (中国科学技术大学近代物理系,合肥 230026) 摘要:在BES3 μ 子鉴别器前端电子学系统中,数据传输采用LVDS信号标准。本文介绍了对工作在MultiDrop方式下的LVDS信号传输质量的一种测试方法,并对测试结果进行了分析。 关键词:LVDS,multidrop,伪随机数据,误码率 0 引言 北京谱仪第三代改造工程的μ 子鉴别器前端电子学数据读出系统(μ 子数据读出系统),主要由放置在RPC探测器附近的625块前端板(FEC)和放置在距RPC探测器30米处的VME 读出插件组成。 μ 子鉴别器共有10,000个通道。为减少由FEC到VME读出插件的传输电缆数量,μ 子数据读出系统将10,000×1位的数据组织成40个数据链。一个数据链由16块FEC板组成,把256个通道的256×1位的并行数据,用菊花链的方式,转换成串行的数据,再由一条信号电缆传送到远端的VME读出插件中;同时,VME读出插件中的命令和检验用的伪随机数据,也通过该电缆传送到数据链的16块FEC板中。 μ 子数据读出系统稳定性设计指标相当严格,要求在平均触发率为4kHz的条件下,8小时内好事例丢失小于2个。同时,在所有的谱仪前端读出系统中,仅有μ 子数据读出系统是采用数字信号输出至远端的VME读出插件中,而其它的前端读出系统都是模拟信号输出至远端的VME插件中。因此,对μ 子数据读出系统的30米长线传输而言,既要能稳定地传输信号,达到上述设计指标,又要使传输信号本身不对其它前端电子学读出系统产生干扰,同时也要传输信号的发送和接收芯片的功耗足够小,以避免放置在RPC探测器内部的FEC板因功耗过大引起性能下降。 μ 子数据读出系统选用了LVDS信号规范传输数据。为了评估该规范的传输距离、传输速率和传输的数据丢失率,我们进行了一系列的测试。 1 LVDS概述 LVDS(Low Voltage Differential Signaling)是一种低摆幅的差分信号技术,其主要技术特点参见表和图1。 表1 几种常见信号的参数对照表[1]

相关文档
最新文档