数字显示电路设计

数字显示电路设计
数字显示电路设计

物理与电子工程学院

《数字电路》课程设计报告书

设计题目:数字显示电路设计

专业:自动化

班级:10级1班

学生姓名:李想

学号:2110341106

指导教师:胡林

年月日

物理与电子工程学院课程设计任务书

专业:自动化班级:10级2班学生姓名李想学号2110341106

课程名称数字电路设计题目数字显示电路设计

设计目的、主要内容(参数、方法)及要求1、课程设计是专业课学习过程中一个非常重要的环节。本次课程设计的目的是熟悉和掌握数字显示电路的应用方法,为今后的工作和学习打下坚实的基础。

2、理解数字显示电路的原理。

3、掌握数字显示电路的应用范围、核心仪器及应用电路。

4、检索阅读与课程设计课题相关的国内科技文献,书写并按时提交规范的课程设计。

5、在调查、实验、论文撰写等环节中,应尊重事实、尊重实验结果,严肃认真的的完成每一个环节的相关工作。

6、课程设计应论述层次清晰,概念准确,语句通顺。

7、独立完成课程设计的撰写工作,不得抄袭和剽窃他人成果。

8、符合课程设计写作规范,整篇文章不少于3000字。

工作量2周时间,每天3学时,共计42学时

进度安排第1天:下达任务书

第2-5天:搜集资料,完成课程设计的文献查阅、试验或调研工作。第6-8天:完成课程设计的初稿,并提交指导教师。

第9-14天:完成课程设计的修改,最终定稿。

主要参考资料[1]路勇.电子电路实验及仿真[M].北京:北京交通大学出版社,2010.

[2]孟涛.电工电子EDA实践教程[M].北京:机械工业出版社,2010.

[3]高吉祥.电子技术基础实验与课程设计[M].北京:电子工业出版社,2005

[4]候建军.电子技术基础实验、综合设计实验与课程设计[M].北京:高等教育出版社,2007.

指导教师

签字

教研室主任签字

摘要

采用动态扫描的方式实现设计要求。动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码。各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。因此在同一时刻如果各位数码管的位选线都处于选通状态的话,6位数码管将显示相同的字符。若要各位数码管能够显示出与本位相应的字符,就必须采用扫描显示方式,即在某一时刻,只让某一位的位选线处于导通状态,而其它各位的位选线处于关闭状态。同时,段线上输出相应位要显示字符的字型码。这样在同一时刻,只有选通的那一位显示出字符,而其它各位则是熄灭的,如此循环下去,就可以使各位数码管显示出将要显示的字符。

MAX+PLUS II 是一个完全集成化的可编程逻辑环境,能满足用户各种各样的设计需要。它支持Altera公司不同结构的器件,可在多平台上运行。MAX+PLUS II 具有突出的灵活性和高效性,为设计者提供了多种可自由选择的设计方法和工具。

丰富的图形界面,可随时访问的在线帮助文档,使用户能够快速轻松地掌握和使用MAX+PLUSII软件。

MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修改,直至最终设计定型。MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。

关键词:数字显示电路;动态扫描;段码

目录

第一章设计任务 (1)

1.1 项目名称:设计数字显示电路 (1)

1.2项目设计说明 (1)

1.2.1设计任务和要求 (1)

1.2.2进度安排 (1)

1.3项目总体功能模块图 (2)

第二章需求分析 (2)

2.1问题基本描述 (2)

2.2系统模块分解 (3)

2.3系统各模块功能的基本要求 (3)

第三章设计原理 (4)

3.1 设计原理 (4)

3.2 MAXPLUSII介绍 (4)

第四章系统功能模块设计 (6)

4.1.1数码管位选控制模块流程图 (6)

4.1.2输入输出引脚及其功能说明 (6)

4.1.3程序代码实现 (7)

4.2数据选择模块 (8)

4.2.1.数据选择模(八选一模块)块流程图 (8)

4.2.2输入输出引脚及其功能说明 (9)

4.2.3程序代码实现 (9)

4.3七段译码器模块 (10)

4.3.1七段译码器模块模块流程图 (10)

4.3.2输入输出引脚及其功能说明 (10)

4.3.3程序代码实现 (10)

第五章调试并分析结果 (12)

5.1输入说明 (12)

5.2预计输出 (12)

5.3测试结果记录 (12)

5.4测试结果分析 (12)

第六章结论 (13)

6.1心得体会 (13)

参考文献 (14)

附录 (15)

第一章设计任务

1.1 项目名称:设计数字显示电路

本项目的主要内容是设计并实现8位数码管轮流显示8个数字。该电路将所学的数字电路与系统大部分知识和VHDL语言结合。

1.2项目设计说明

1.2.1设计任务和要求

A、用CPLD设计一个八位数码管显示电路;

B、8位数码管轮流显示8个数字,选择合适的时钟脉冲频率实现8个数码

管同时被点亮的视觉效果。

1.2.2进度安排

第一周至第二周每周二2课时,共10课时。具体安排为:第一周至第三周6课时自行设计、第四周实验结果验收、第五周交报告并进行答辩。

1.3项目总体功能模块图

第二章需求分析

2.1问题基本描述

基本系统流程图如下

2.2系统模块分解

动态扫描显示电路的主要组成为:计数器、显示译码器、32选4数据选择器、扫描电路组成。

2.3系统各模块功能的基本要求

1、计数器:CN8模块输入信号是时钟脉clk,每遇到一个时钟脉冲clk上升沿时,内部累加器便加一,再把累加器所得结果与2进制数的形式输出。要显示8位数字,所以用3位2进制数作为输出。输出信号为cout[0..2]。

2、八选一数据选择模块:模块输入信号一个是数据选择器的地址码SEL[2..0],另一部分是数据信息A[3..0]~F[3..0].地址码是SEL[2..0]来自时钟脉冲计数器CN6,由地址码SEL[2..0]决定输出哪个输入数据。输出信号是q[3..0];

3、扫描显示译码器:完成对7字段数码管显示的控制。

第三章设计原理

3.1 设计原理

采用动态扫描的方式实现设计要求。动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码。各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。因此在同一时刻如果各位数码管的位选线都处于选通状态的话,6位数码管将显示相同的字符。若要各位数码管能够显示出与本位相应的字符,就必须采用扫描显示方式,即在某一时刻,只让某一位的位选线处于导通状态,而其它各位的位选线处于关闭状态。同时,段线上输出相应位要显示字符的字型码。这样在同一时刻,只有选通的那一位显示出字符,而其它各位则是熄灭的,如此循环下去,就可以使各位数码管显示出将要显示的字符。

虽然这些字符是在不同时刻出现的,而且同一时刻,只有一位显示,其它各位熄灭,但由于数码管具有余辉特性和人眼有视觉暂留现象,只要每位数码管显示间隔足够短,给人眼的视觉印象就会是连续稳定地显示。

总之,多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环一次点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率较大,将看不到闪烁现象。将会看到6个数码管持续稳定点亮的现象。

3.2 MAXPLUSII介绍

MAX+PLUS II 是一个完全集成化的可编程逻辑环境,能满足用户各种各

样的设计需要。它支持Altera公司不同结构的器件,可在多平台上运行。MAX+PLUS II 具有突出的灵活性和高效性,为设计者提供了多种可自由选择的设计方法和工具。

丰富的图形界面,可随时访问的在线帮助文档,使用户能够快速轻松地掌握和使用MAX+PLUSII软件。

MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修改,直至最终设计定型。MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。

MAX+PLUSII支持Altera公司的Classic、ACEX 1K、MAX 3000、MAX 5000、MAX 7000、MAX 9000、FLEX 6000和FLEX 10K等系列的可编程逻辑器件,门数为600~250000门,提供了工业界真正与结构无关的可编程逻辑设计环境。MAX+PLUSII的编辑器还提供了强大的逻辑综合与优化功能以减轻用户的设计负担。

MAX+PLUSII软件的设计输入、处理、校验功能完全集成于可编程逻辑开发工具内,从而可以更快的进行调试,缩短开发周期。

设计者可以从各种设计输入、编辑、校验及器件编程工具中作出选择,形成用户风格的开发环境,必要时还可以在保留原始功能的基础上添加新的功能。由于MAX+PLUSII支持多种器件系列,设计者无须学习新的开发工具即可对新结构的器件进行开发。

MAX+PLUSII软件支持多种HDL的设计输入,包括标准的VHDL、Verilog

HDL及Altera公司自己开发的硬件描述语言AHDL。

MAX+PLUS II 由设计输入、项目处理、项目检验和器件编程等4部分组成,所有这些部分都集成在一个可视化的操作环境下。

MAX+PLUS II 管理窗口包括项目路径、工作文件标题条、MAX+PLUS II菜单条、快捷工具条和工作区等几个部分。设置好授权码后,启动MAX+PLUS II 即进入MAX+PLUS II 管理窗口,如图6.4所示。

MAX+PLUS II 还为用户提供了功能强大的在线帮助功能。通过使用在线帮助,用户可以获得设计中所需的全部信息。

第四章系统功能模块设计

4.1计数(数码管位选控制)模块

4.1.1数码管位选控制模块流程图

4.1.2输入输出引脚及其功能说明

CN8模块输入信号是时钟脉冲clk,每遇到一个时钟脉冲clk上升沿时,内部累加器便加一,再把累加器所得结果与2进制数的形式输出。要显示八位数字,

所以用3位2进制数作为输出。输出信号为cout[0..2]。总之是通过输入输出信号来对数码管进行位选控制。

4.1.3程序代码实现

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cn8 is

port(clr,start,clk: in bit;

cout: out std_logic_vector(2 downto 0));

end cn8;

architecture a of cn8 is

signal temp:std_logic_vector(2 downto 0);

begin

process(clk,clr)

begin

if clr='0' then

temp<="000";

cout<='0';

elsif (clk'event and clk='1') then

if start='0' then

if temp>="111" then

temp<="000";

cout<='1';

else

temp<=temp+1;

cout<='0';

end if;

end if;

end if;

end process;

cout<=temp;

end a;

4.2数据选择模块

4.2.1.数据选择模(八选一模块)块流程图

4.2.2输入输出引脚及其功能说明

SEL81模块输入信号一个是数据选择器SEL81的地址码SEL[2..0],另一部分是数据信息A[3..0]~H[3..0].地址码是SEL[2..0]来自时钟脉冲计数器CN8,由地址码SEL[2..0]决定输出哪个输入数据。输出信号是q[3..0]。

4.2.3程序代码实现

Library ieee;

Use ieee.std_logic_1164.all;

Entity sel81 is

Port(sel:in std_logic_vector(2 downto 0);

A,b,c,d,e,f,g,h:in std_logic_vector(3 downto 0);

Q:out std_logic_vector(3 downto 0));

End sel81;

Architecture rtl of sel81 is

begin

Process(a,b,c,d,e,f,g,h,sel)

Variable cout:std_logic_vector(3 downto 0);

Begin

Case(sel)is

When"000"=>cout:=a;

When"001"=>cout:=b;

When"010"=>cout:=c;

When"011"=>cout:=d;

When"100"=>cout:=e;

When"101"=>cout:=f;

When"110"=>cout:=g;

When others =>cout:=h;

End case;

Q<=cout;

End process;

End rtl;

4.3七段译码器模块

4.3.1七段译码器模块模块流程图

4.3.2输入输出引脚及其功能说明

DISP模块是七段译码器,将输入的4位二进制数转换为数码显示管对应的数字。例如输入为4进制数0000的时候,使数码显示管显示0,则要七段译码器输出为011111。即g段为0,g段发光二极管不亮,其它发光二极管被点亮,显示效果为0。DISP模块输入信号D[3..0],输出信号是Q[6..0]。

4.3.3程序代码实现

Library ieee;

Use ieee.std_logic_1164.all;

Entity disp is

port(d:in std_logic_vector(3 downto 0);

q:out std_logic_vector(6 downto 0)); end disp;

Architecture rtl of disp is

Begin

Process(d)

Begin

Case d is

When"0000"=>q<="0111111";

When"0001"=>q<="0000110";

When"0010"=>q<="1011011";

When"0011"=>q<="1001111";

When"0100"=>q<="1100110";

When"0101"=>q<="1101101";

When"0110"=>q<="1111101";

When"0111"=>q<="0100111";

When"1000"=>q<="1111111";

When others=>q<="1101111";

End case;

End process;

End rtl;

第五章调试并分析结果

5.1输入说明

clk 时钟输入

Clr 计数器清零(低电平有效)

Start 打开计数器(低电平有效)

A[3..0]..H[3..0] 输入要显示的数字

5.2预计输出

Cout[2..0] 数码管位选控制

Q[6..0] 数码管段选控制

5.3测试结果记录

同时显示0,1,2,3,4,5,6,7这八个不同的数字图形到八个数码管上。

5.4测试结果分析

输入一个时钟,驱动计数器工作。选用模值为8的计数器,依次控制8个数码管的亮灭,使得某一时刻有且仅有一个数码管点亮,同时产生对应的,将点亮的数码管赋值显示为相应的数码予以显示。由于扫描频率较高,8位数码管序列将显示持续稳定的0至7的数码。

第六章结论

6.1心得体会

在课程设计之前,我学过51单片机,对硬件与软件的联系有初步的了解,其次我的题目相对较简单---设计8位数码管动态显示电路,所以上手特别的快。在编写程序之前,我到实验室实地考察了一下试验箱,发现8位数码管实验无法实现,只能实现6位,开关实现数码管数字变换也不现实,单单8位数码管的数字就需要32个开关控制,试验箱远远达不到这个要求,因此,我就设计全软件来控制并且做得非常成功。最后验收时听老师分析,全软件的程序有很大的缺陷,然后对我的设计又进一步的改进,用开关来进行控制。

总之,通过这次课程设计,我对EDA技术有了更进一步的了解。也知道了如何把vhdl的程序装到实验的硬件中,然后如何的连接实验箱上的管脚。通过在上网查询本次实验相关资料。丰富了对EDA的了解。

相关主题
相关文档
最新文档