精编【电子行业】数字电子技术实验教案

精编【电子行业】数字电子技术实验教案
精编【电子行业】数字电子技术实验教案

【电子行业】数字电子技术

实验教案

xxxx年xx月xx日

xxxxxxxx集团企业有限公司

Please enter your company's name and contentv

湖南工学院教案用纸p.1

实验1 基本门电路逻辑功能测试(验证性实验)

一、实验目的

1.熟悉基本门电路图形符号与功能;

2.掌握门电路的使用与功能测试方法;

3.熟悉实验室数字电路实验设备的结构、功能与使用。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00,74LS20,74LS86,导线

三、实验电路与说明

门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成度高,抗干扰能力强。

1. 74LS00—四2输入与非门功能与引脚:

2. 74LS20—双4输入与非门功能与引脚:

3. 74LS86—四2输入异或门功能与引脚:

四、实验内容与步骤

1. 74LS00功能测试:

①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔动开关进行测试,结果记入自拟表格。

2. 74LS20功能测试:

实验过程与74LS00功能测试类似。

3. 74LS86功能测试:

实验过程与74LS00功能测试类似。

4. 用74LS00构成半加器并测试其功能:

①根据半加器功能:,,用74LS00设计一个半加器电路;

②根据所设计电路进行实验接线;

③电路输入接逻辑电平开关,输出接LED显示器;

④通电源测试半加器功能,结果记入自拟表格。

5. 用74LS86和74LS00构成半加器并测试其功能:

实验过程与以上半加器功能测试类似。

五、实验报告要求

1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。

2.在报告中回答以下思考题:

①如何判断逻辑门电路功能是否正常?

②如何处理与非门的多余输入端?

湖南工学院教案用纸p.2

实验2 组合逻辑电路的设计与调试(设计性综合实验)

一、实验目的

1.熟悉编码器、译码器、数据选择器等MSI的功能与使用;

2.进一步掌握组合电路的设计与测试方法;

3.学会用MSI实现简单逻辑函数。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,LED数码显示器,74LS148,74LS151,74LS48,74LS138,74LS283,74LS04,多用表,导线

三、实验内容与设计要求

1.按教材P.180 Fig.6.6 电路接线,验证8-3优先编码器74LS148和显示译码器74LS48的逻辑功能,记录实验数据,表格自拟;

2.用数据选择器74LS151(或者74LS138+74LS04)设计一个红、黄、绿三色信号灯状态监测逻辑电路,并对所设计电路的功能进行测试。要求:任何时刻信号灯只能亮红、黄、绿三种颜色中的任意一种颜色灯;其它状态都属于故障状态。

3.用一片四位加法器74LS283实现8421BCD码到余3码的转换,并测试电路功能。

四、设计方法与设计提示

1. 组合电路设计的一般步骤:参见教材P.180 Fig.6.5

①根据电路功能描述,分析因果关系,确定输入、输出变量,并对之进行逻辑赋值;

②应用穷举法列出真值表,并写出逻辑表达式;

③根据具体电路要求及特定器件资源,选择确定器件;

④利用公式或卡诺图化简函数,并将之转换成与所选用器件功能相适应的形式;

⑤画出所设计的逻辑电路图,并进行后续的工艺设计与组装调试。

2. 典型组合电路MSI功能与引脚:

①8-3优先编码器74LS148;②显示译码器74LS48;③8选1数据选择器74LS151;

④3-8译码器74LS138;⑤四位加法器74LS283。

3. 设计提示:

①数据选择器实现逻辑函数:

如:用8选1数据选择器74LS151实现函数。因74LS151输出,,故74LS151的接线方法为:

,,。

②3-8译码器74LS138实现逻辑函数与数据分配:

74LS138的输出,其中是由地址码组成的最小项。由于任意函数总可以写成最小项之和形式即,因而如果将函数变量作为译码器的地址码或译码控制信号,则根据反演定理并结合与非门即可完成逻辑函数的译码器实现。译码器实现逻辑函数与数据分配的具体方法参见教材P.183 Fig.6.10。

③8421BCD码到余3码的转换:

余3码=8421BCD+0011,故用加法器可容易实现8421BCD码到余3码的转换。

五、预习要求及实验注意事项

1.预习要求:

①查阅并熟悉相关MSI的引脚及功能;

②按设计要求设计好实验所用电路,画出实验电路图。

湖南工学院教案用纸p.3

2.实验注意事项:

①接插芯片时,注意认清定位标志;

②实验前注意确定MSI芯片功能正常;

③不允许MSI芯片输出端直接接地或电源,须在断电状态进行拆线或电路更改。

六、实验报告要求

1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、问题分析与处理、实验总结与体会等。

2.在报告中回答以下问题:

①组合电路的一般设计步骤?

②组合电路的设计体会?

湖南工学院教案用纸p.4

实验3 集成触发器功能测试(验证性实验)

一、实验目的

1.熟悉集成JK和D触发器的功能与使用;

2.熟悉触发器的功能测试方法。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,示波器,74LS112集成JK触发器,74LS74集成D触发器,多用表,导线

三、实验电路与说明

触发器是时序逻辑电路构成的基本单元,具有两个稳态,并且触发器状态能在外部输入信号作用下进行翻转。触发器种类繁多,按电路结构,可分为同步触发器、主从触发器和边沿触发器,按逻辑功能,可分为RS触发器、JK触发器、D触发器、T触发器等。一般而言,集成触发器除了触发信号输入端外,还拥有直接置零、置1输入端。熟悉并掌握各种触发器特性方程、状态转换、动作特点,是应用触发器的重要基础。

1. 74LS112—集成JK触发器的功能与引脚:

2. 74LS74—集成DK触发器的功能与引脚:

四、实验内容与步骤

1. 74LS112功能测试:

①按教材P.186 表6.11改变和,观察并记录触发器状态的变化;

②按教材P.186 表6.12,对触发器逻辑功能进行测试;

③使(计数状态),在端输入方波,观察并记录、端工作波形。

2. 74LS74功能测试:

①按教材P.187 表6.13测试并记录74LS74触发器的逻辑功能;

②连接(计数状态),在端输入方波,观察并记录、端工作波形。

五、实验报告要求

1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。

2.在报告中回答以下思考题:

①和的作用是什么?如何利用它们实现触发器的置零或置1?触发器正常工作时,它们应处于什么状态?

②当触发器处于计数状态时,端状态在的什么时刻变化?端波形与波形在周期上有什么关系?

湖南工学院教案用纸p.5

实验4 移位寄存器(设计性实验)

一、实验目的

1.掌握集成移位寄存器的功能及其测试方法;

2.研究由移位寄存构成的环形计数器和串行累加器工作原理。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,集成移位寄存器74LS194,集成D触发器74LS74,全加器74LS183,示波器,多用表,导线

三、实验内容与步骤

1.集成移位寄存器74LS194逻辑功能测试:

实验电路参见教材P.195 Fig. 6.25。、、、、、、、、接逻辑电平开关,、、、接LED显示器,从输入单次脉冲,按教材P.196 表6.23逐项测试并记录测试结果:

①清除功能;②送数功能测试;③右移功能测试;④左移功能测试;⑤保持功能测试。

2.循环移位电路设计并测试其循环移位功能:

用74LS194设计一循环右移寄存器,然后测试其在脉冲作用下的数据循环右移功能,并记录测试结果。

3.串行累加器设计及其功能测试:

根据教材P.195 Fig. 6.24所示串行累加器结构与工作原理,用74LS194和74LS183设计一四位串行累加器,然后在脉冲作用下测试电路的串行累加功能,结果记入自拟表格。

四、实验说明

1. 74LS194引脚及功能:

2.循环移位寄存器结构与工作原理:

3.吕行累加器构成方法与工作原理:

五、实验报告要求

1.报告内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、问题分析与处理、实验总结与体会等。

2.总结74LS194逻辑功能,画出环形计数器状态转换图与波形图;

3.分析串行累加器实验结果的正确性;

4. 在报告中回答以下问题:

①要使移位寄存器清零,可否采用右移或左移功能实现可否采用并行送数法实现?如果可

以,又如何操作?

②如要求循环左移,如何更改循环右移电路的连接?

湖南工学院教案用纸p.6

实验5 集成计数器设计(设计性实验)

一、实验目的

1.掌握用集成计数器构成任意进制计数器的方法;

2.掌握MSI计数器使用与功能测试方法;

3.基于MSI计数器的任意进制计数器设计。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,LED发光显示器,集成十进制计数器74LS192,四2输入与非门74LS00,连续脉冲源,单次脉冲源,导线

三、实验内容与设计要求

1. 自拟74LS192逻辑功能测试电路,并进行测试与记录;

2. 采用反馈归零或反馈置数法,用一片74LS192和74LS00构成一个8进制计数器,实验方法与步骤自拟;

3. 采用级联的方法,用两片74LS192和74LS00构成一个24进制计数器,实验方法与步骤自拟。

四、设计提示

1.基于MSI集成N计数器的任意M进制计数器的构成方法:

①M

②M>N:此时需要采用多片集成N计数器通过级联和整体的清零或置数才能构成一个M

进制计数器。整体的清零或置数信号在整个计数器的哪个状态产生,类似于M

2. 74LS192引脚功能:双时钟集成十进制同步可逆计数器

五、实验报告要求

1.报告内容除实验名称、目的要求外,还必须画出设计电路,拟定实验步骤,并对实验记录数据进行整理,和实验结果分析,表达实验总结与体会等。

2.说明任意进制计数器的构成方法;

3.讨论实验中遇到的问题;

4.在报告中回答:

湖南工学院教案用纸p.7

①74LS192加计数时,应如何连接其、、?

②如何实现秒、分时钟计数?

《电子线路实验》教学大纲数字电路实验

《电子线路实验》教学大纲 数字电路实验 课程编号: 课程名称:电子线路实验(数字)英文名称:Experiments of Electronics Circuits 学时:20(30)学分:1 课程类型:必修课程性质:公共基础课 适用专业:通院、电院、机电院各专业先修课程:模拟、数字、高频电路 开课学期:第四和第五学期开课院系:电工电子实验中心、通信工程、电子工程学院 一、课程的教学目标与任务 通过电子线路实验,培养学生的基本实验技能,加深对低频、高频和数字电路理论的理解,学会常用仪器仪表的原理和使用。使学生掌握常用电子线路的设计、组装、调整和测试技能,并初步具备工程实践能力,以及应用计算机仿真软件分析与设计实验电路的能力。 二、本课程与其它课程的联系和分工 本课程是通信工程学院、电子工程学院、机电工程学院各专业共同必修的技术基础实践教学课。是模拟电子线路、数字电路、高频电子线路理论课教学的延伸,是培养学生实际工作能力,启发创新意识的重要环节。 三、课程内容及基本要求 实验一:基本门测试及振荡器研究实验(4学时) 熟悉基本门电路的工作原理;学会基本门的测试;熟悉用基本门构成振荡电路;掌握用示波器观察和测量振荡器各级的波形及其参数的方法;学会频率计的正确使用。 实验二:组合逻辑电路设计(基本)(4学时) 1)掌握组合逻辑电路的设计方法。 2)进一步熟悉和掌握集成电路功能测试方法。 3)学习组合逻辑电路中故障的查找、排除方法及整个电路的调试。 实验三:编码器与译码器(4学时) 1)学习中规模集成编码器和译码器的工作原理、器件结构和使用方法; 2)掌握编码器和译码器的工作原理和设计方法。 实验三:时序逻辑电路实验(基本)(4学时) 1)熟悉常用触发器、计数器、移位寄存器的工作原理、逻辑功能和译码显示原理。 2)学会用现有集成计数器组成N进制计数器。 实验四:综合实验I(基本)(4(8)学时)

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

电子技术实验课教学案例

电子技术实验课教学案例 引言: 模拟电子技术基础是电子技术应用专业的一门核心课程,也是电子信息类专业整个知识和能力体系的重要支柱之一,由于教学内容存在基本概念抽象,知识点分散,分析方法多样,器件和电路类型复杂等问题,加上学生学习基础较差,理解能力较弱,学生学习兴趣不高,教学效率不高,教学效果欠佳。围绕任何处理号教和学的关系,笔者尝试从淡化理论教学,加强实践(试验)教学入手,激发学生学习兴趣,增强教学的互动性,从而提高教学效果。 背景 按照教学计划的安排,第四周应该进行固定偏置式三极管放大电路的静态与动态工作过程的观察这个实验。这个实验的目的主要是结合理论知识,用仪器和仪表去测量电路的静态工作点和对比观察输入输出波形的大小和相位关系,验证理论教学的正确性。授课对象是五年制高职三年级学生,53人,男女生几乎各占一半。由于理论教学任务完成得较好,课前布置了学生预习实验内容,笔者估计这次实验应该能够顺利完成。 问题 可是,等到学生实验正式开始后,很多意想不到的事情发生了,教师的教学组织受到了很大的干扰,致使实验教学受到了很大的影响。 教学课程 教师:今天,我们在实验室上课,同学们分组做实验,研究固定偏置式三极管放大电路的静态与动态工作过程,课前布置了大家预习,相信大家对实验的过程,所有仪器,仪表的使用和操作已经有了比较全面的认识,我在教师台再把一些关键词强调一下。 学生:部分学生点头表示明白,小部分学生低头在摆弄实验教材。 教师:在实验台上接搭号电路,提醒大家注意:本次实验原理难度并不大,但测量项目较多,仪器仪表的接线也比较复杂,请务必注意测量放大电路的静态工作点时,万用表测量项目和量程的装换,红黑表笔极性要注意,不然很容易造成万用表损坏,影响实验的正常进行,信号发生器,示波器首先按照要求调试号,接线关系要弄清楚,正确接入电路,不然看不到波形,实验无法成功,也就无法对理论教学进行验证了。 学生:有的在思考老师讲的话,有的在小声议论,有的用万用表测量自身电阻大小。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

劳技教案(八上项目一:电子技术)

项目一电子技术 第一章电的基础知识 第一节电流、电压和电阻 课时:一课时 教学目标: 1、知道电流形成的原因,掌握电流的单位及单位换算。 2、知道电压的概念,掌握电压的单位及单位换算。 3、知道电阻的影响因素,和单位及单位换算。 教学过程: 一、电流 1、物质是由分子组成的,而分子又是由原子组成的,那么原子是怎么构成的呢?原子是由原子核和核外电子构成的,每个原子都有一个原子核和若干电子。每个电子都带一个单位负电荷,原子核带正电,通常情况下原子核所带正电荷数与它核外电子所带负电荷数是相同的,这时正负相抵物体不显电性。如果大量电子在某种力的作用下都朝着相同方向流动起来,就形成了电流。电流不仅大小不同,方向也不同。人们规定:把电子流动相反的方向规定为电流的方向。 2、单位:安培A,还有毫安mA,和微安uA。1A=1000mA,1mA=1000uA 二、电压 1、为什么能形成水流呢?因为有水压存在,没有压力水就不会流动。

怎么才能让大量电子定向移动起来呢?人们引入了一个电压的概念。没有电压就没有电流。 2、电压的单位:,V 1V=1000mA,1mV=1000uV 三、电阻 1、电流在导体中流动也会受到阻力,人们把这种阻力称为电阻。不同材料的电阻的电阻率不同,相同材质的导体,横截面积越大电阻越小,长度越大,电阻越大。 2、单位:欧姆,符号Ω1KΩ=1000Ω,1MΩ= 1000KΩ

第二节直流电和交流电 课时:1课时 教学目标: 1、了解直流电和交流电 2、了解万用表的结构 3、知道万用表的使用注意事项 4、会用万用表测量电压、电流和电阻 教学过程: 一、直流电和交流电 1、直流电:人们把方向、大小都不随时间变化的电流(电压)叫做直流电。 2、交流电:人们把方向和大小都随时间变化的电流(电压)叫做交流电。 二、万用表的构造 万用表面板上主要有表头和选择开关,还有欧姆档调零旋钮和表笔插孔,下面介绍各部分的作用: 1、表头为灵敏电流计表头上的表盘印有多种符号、刻度线和数值。标有“Ω”的是电阻档的刻度线,刻度分布不均匀。符号“-”或“DC”表示直流,标有“~”或“AC”表示交流。 2、选择开关万用表的选择开关是一个多档位的旋转开关,用来选择测量项目和量程。一般的万用表的测量项目包括直流:mA,直流电压:V和电阻:Ω。每个测量项目又划分为几个不同的量程以供选

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

电子数电教案(1)

数字电子技术实验教案 (本科) 任课教师:张琨英 实践教学中心电工电子教研室 2014年3月

2013-2014学年第二学期 课程名称:数字电子技术实验 任课班级: 1220311/312/331/332 实验项目: 一组合逻辑电路的设计(2学时) 二译码器和编码器(2学时) 三数据选择器和基本RS触发器(2学时) 四移位寄存器及其应用(2学时) 五计数器连接法(2学时) 六 555时基电路的应用(2学时) 七多路抢答器的设计(设计性实验4学时) 八考试(1学时) 共计:17学时

实验一 组合逻辑电路的设计 一、实验目的 1、掌握异或门,半加器逻辑功能及测试。 2、学会组合逻辑电路的设计与测试方法。 二、原理说明 1、管脚图见图1-1 图1-1 2、用与非门构成异或门 向学生介绍清楚异或门公式如何用与非门来表示。 从表达式可见用4个与非门即可实现异或门逻辑关系。 3、用与非门构成半加器 因为半加器的本位S=AB+AB 是一个异或逻辑,所以用4个与非门即可实现。 半加器的进位 C=AB=AB 在前边异或门的表达式中有AB 。再求非一次即可实现C 逻辑。可见用5个与 非即可实现半加器。 4、用与非门构成全加器: 因为全加器的本位S i=A ⊕B ⊕C i--1=S ⊕C i--1 可见S i 也是一个异或逻辑。所再用4个与非门即可实现 全加器的进位C i =C i —1(A ⊕B)+AB =C i —1(A ⊕B)〃AB

其中AB是与非门可直接利用异或门中第1个与非门输出,而C i—1 (A⊕B) 也是一个与非门,且是第2个异或门中第1个与非门输出,可见C i 用一个与非门就可以。 三、实验设备 强调接线时注意芯片的正负极,并提醒学生每块芯片都有独立电源,不能只给一 片电源供电;指出逻辑电平输入与输出端的区别及作用。 四、实验内容 (一)用1片74LS00构成异或门 1、用两种不同颜色的线将74LS00的14脚接+5v,7脚接地,然后用第三种颜 色的线按图1-2连线,并请老师查看后再开电源: E 图1-2 2、K上拨代表1状态,下拨代表0状态。拨动K,使分别输入以下状态,用万 用表直流电压20V档测量K 0 K 1 E 的对地电压,并观察E 的亮暗,填入表2-1。 请老师查看数据。 (二)用2片74LS00构成半加器 1、关闭电源,用两种不同颜色的线将各片芯片电源脚接+5v,7脚接地。然后

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电力电子技术实验(课程教案)

课程教案 课程名称:电力电子技术实验 任课教师:张振飞 所属院部:电气与信息工程学院 教学班级:电气1501-1504班、自动化1501-1504自动化卓越1501 教学时间:2017-2018学年第一学期 湖南工学院

课程基本信息

1 P 实验一、SCR、GTO、MOSFET、GTR、IGBT特性实验 一、本次课主要内容 1、晶闸管(SCR)特性实验。 2、可关断晶闸管(GTO)特性实验(选做)。 3、功率场效应管(MOSFET)特性实验。 4、大功率晶体管(GTR)特性实验(选做)。 5、绝缘双极性晶体管(IGBT)特性实验。 二、教学目的与要求 1、掌握各种电力电子器件的工作特性测试方法。 2、掌握各器件对触发信号的要求。 三、教学重点难点 1、重点是掌握各种电力电子器件的工作特性测试方法。 2、难点是各器件对触发信号的要求。 四、教学方法和手段 课堂讲授、提问、讨论、演示、实际操作等。 五、作业与习题布置 撰写实验报告

2 P 一、实验目的 1、掌握各种电力电子器件的工作特性。 2、掌握各器件对触发信号的要求。 二、实验所需挂件及附件 三、实验线路及原理 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载 电阻R串联后接至直流电源的两端,由DJK06上的给定为新器件提供触 发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得 在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负 载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电 压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07 挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后 调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压 器调节的直流电压源。 实验线路的具体接线如下图所示:

《数字电子技术课程设计》教学大纲

教学大纲 课程名称数字电子技术课程设计课程负责人 开课系部机电工程系 教研室电气自动化 二0一四年四月一日

《数字电子技术课程设计》教学大纲 一、课程基本信息 课程编号: 课程名称:数字电子技术课程设计 英文名称:A Course Design on Digital Electronic Technology 适用专业:电气工程及其自动化类专业 先修课程:电路原理、模拟电子技术、数字电子技术 课程性质:专业基础课 设计周数:1周 学分:1分 二、课程设计的性质、目的和任务 数字电子技术课程设计是电路分析、模拟电子技术、数字电子技术等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。这对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。数字电子技术课程设计应达到以下目的: (1)加深对所学理论知识的理解,并能将其熟练应用,做到理论与实际相结合; (2)学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步提高分析解决实际问题的能力; (3)要求学生根据技术指标进行理论设计,并制作调试完成,培养学生分析问题、解决问题的实践能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,并力求设计方案凝练可行、思路独特、效果良好。 三、课程设计的内容 以《电路分析》、《模拟电子技术》和《数字电子技术》等课程中所涉及到的电阻、电容、电感元件、无源滤波电路、变压器、二极管、三极管、场效应管及

基本放大电路、功率放大电路、集成运算放大电路、信号发生器、直流电源、门电路及触发器、小规模集成电路SSI、中规模集成电路MSI为基础,两人一组分工协作、独立设计具有可靠性高及功能明确的实际应用价值的电子电路,最后编写课程设计总结报告。设计内容可参考设计题目,也可根据自身情况自己拟定。 参考题目如下: 1.数字电子钟逻辑电路设计:设计一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间;(如准点报时、定时闹钟等)2.智力竞赛抢答器逻辑电路设计:设计一个可供四组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能(如抢答计时及加分、减分电路等) 3.交通信号灯控制器逻辑电路设计:满足绿灯30秒,黄灯5秒,红灯35秒的时序。采用两位数码显示器显示南北方向时间。 4.汽车尾灯控制电路设计:转向侧的3灯应按全灭、1灯亮、2灯亮、3灯亮得顺序动作,周期性明亮与暗,一周约需一秒;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗;制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮。 5.数字温度计逻辑电路设计:设计一个可以测量温度范围0-800C的数字式温度计,精度± 10C。 6.多路防盗报警电路设计:采用多路输入、同一报警输出方式实现,输入端带延时触发功能,具有显示报警地点功能。 7.电梯控制电路设计:设计一个简易4层电梯控制电路,能记忆电梯内、外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。 8.倒计时计时器的设计:最长记时时间为999秒,有三位数码管显示记数状态。 9.洗衣机控制电路设计: 设计一个洗衣机控制器,具有如下功能:

最新数字电子技术基础电子教案——第5章时序逻辑电路.docx

第 5 章时序逻辑电路 5.1时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存 储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分 组成。 图 5.1时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路 要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的 输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 ( 1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同 步时序电路较复杂,其速度高于异步时序电路。 ( 2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出 Z 既与触发器的状态 Q n有关,又与外部输入 X 有

关。而摩尔型电路的外部输出Z 仅与触发器的状态Q n有关,而与外部输入X 无关。 ( 3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、 状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且 都是分析和设计时序电路的基本工具。 5.2时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。 (2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输 出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图 5.10 所示。 图 5.10同步时序电路的设计过程

电子技术基础与技能电子教案(综合)

《电子技术基础与技能》电子教案 项目一二极管单向导电板的制作 教案编号:01—01—01 一、教学目标 1、了解什么是半导体、P型半导体和N型半导体; 2、了解PN结的形成过程及其特性; 3、掌握二极管的符号、特性及特性曲线等; 4、会用万用表判断二极管的质量。 二、重点难点 重点:二极管的符号及单向导电特性。 难点:PN结的形成过程 三、学情分析 有关半导体、二极管等概念,学生第一次接触到,而且这些内容十分抽象难理解,所以学生学起来有一定困难。但学生在初中阶段已经接触到了电阻、导体及绝缘体等相关内容,而半导体就是导电能力介于导体和绝缘体之间的物质,因此,教师要如此引入过渡,学生是容易接受的。 四、教学方法 讲解法、观察法、图形演示法 五、教具准备 各种不同形状的二极管、幻灯片及幻灯机、实物投影仪等 六、课时安排:2课时 七、教学过程 1、导入新课: 大家在初中学习了电阻,电阻就是导体对电流的阻碍作用。而导体就是能够导电的物质,如铁、铝、铜等金属;不能导电的物质就是绝缘体,如干木头、黑板等。那么世界上有没有导电能力介于导体和绝缘体之间的物质呢?这就是今天我们要学习的内容——半导体 2、新授阶段

(1)出示投影(课本图1-1 二极管单向导电电路图) 让生认识电路图,了解图中的各元器件。并强调指出其中的二极管是电路中的关键元件,今天我们就来重点学习这种元件。 (2)先了解半导体、P型半导体和N型半导体以及PN结等。 1)半导体:由自然界的物质按导电性能的分类引出半导体。半导体的最外层有4个价电子。如硅和锗等。半导体有光敏性、热敏性和掺杂性三种特性,特别是其掺杂性是形成半导体元件的重要基础。 2)P型半导体和N型半导体 先介绍本征半导体,然后根据在本征半导体中掺入不同的杂质离子可形成两种半导体,即N型半导体和P型半导体。(可结合投影出示本征半导体的原子排列图以及和掺入两种不同杂质时形成两种半导体的形成过程图)。 3)PN结:出示投影(课本图1-2 PN的结构示意图),简单从电子转移的角度介绍PN结的形成过程。 给生时间理解并自己动手画图记忆 (3)二极管 1)出示投影(课本图1-3 二极管的结构示意图及其符号) 讲解二极管的定义、结构及其符号等 给生时间理解并自己动手画图记忆 2)实物投影展示各种不同形状的二极管外形,之后拿出实物让生观察,增强学生的感性意识。 3)二极管的特性曲线 出示投影(课本图1-5 二极管的伏安特性曲线) 讲解二极管特性曲线的定义、二极管的正向电压和反向电压等概念。 讲述二极管特性曲线的形成规律及其特点。要让学生记住死区电压:对于硅管是0.5V,锗管是0.2V;导通电压:对于硅管是0.7V;对于锗管是0.3V。 给生时间理解并自己动手画图记忆 4)二极管的种类及参数:师简单介绍

模拟电子技术实验 教案

模拟电子技术实验教案 ·平顶山学院教案 20XX ~~ 20XX 学年第 1 学期 承担系部电气信息工程学院课程名称模拟电子技术实验授课对象 11电气、电子、测控,10物理授课教师张晓朋职称讲师教材版本电工电子实验与计算机仿真教程参考书 20XX年 9 月 3 日 平顶山学院模拟电子技术实验教案 模拟电子技术基础实验 实验一常用电子仪器的使用练习 [实验目的] 1、了解示波器、低频信号发生器、视频毫伏表及直流稳压电源的工作原理。 2、掌握常用电子仪器的使用方法。[实验仪器] 1、函数信号发生器; 2、双踪示波器; 3、交流毫伏表; [实验原理] 多种实验仪器之间按如图1-1所示。交流毫伏表直流稳压电源+ -屏蔽线U cc函数信号发生器屏蔽线被测电路 uiu0示波器屏蔽线图1-1 1、函数信号发生器

函数信号发生器按需要输出正弦波、方波、脉冲波三种信号波形。输出电压最大可达10VP-P。函数信号发生器的输出信号频率可以通过频率分档开关进行调节。 函数信号发生器作为信号源,它的输出端不允许短路。 2、示波器的使用 (1)用示波器测量正弦波的有效值 正弦波形在示波器屏幕上的显示方式如图1-2所示。如果荧光屏上信号波形的峰-峰值为Ddiv,Y轴灵敏度为/div,则所测电压的峰-峰值为: VP-P=/div×Ddiv 式中/div是示波器无衰减时Y轴的灵敏度,即每格20mV;D为被测信号在Y轴方向上峰-峰之间的距离,单位为格(div)。 (2)用示波器测量时间 时间测量时在X轴上读数,量程X轴的扫描速度开关“t/div”决定。 1 平顶山学院模拟电子技术实验教案 测量前对示波器进行扫描速度校准,测量时间过程中使该“微调”始终处于“校准”位置上。测量信号波形任意两点间的时间间隔。 B

数字电路基础教案

第7章数字电路基础 【课题】 7.1 概述 【教学目的】 1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。 2.明确该科目的学习重点和学习方法。 【教学重点】 1.电信号的种类和各自的特点。 2.数字信号的表示方法。 3.脉冲波形主要参数的含义及常见脉冲波形。 4.数字电路的特点和优越性。 【教学难点】 数字信号在日常生活中的应用。 【教学方法】 讲授法,讨论法 【参考教学课时】 1课时 【教学过程】 一、新授内容 7.1.1 数字信号与模拟信号 1. 模拟信号:在时间和数值上是连续变化的信号称为模拟信号。 2. 数字信号:在时间和数值上是离散的信号称为数字信号。 讨论:请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数 1. 脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。 2.脉冲的主要参数:脉冲幅值V m 、脉冲上升时间t r 、脉冲下降时间t f 、脉冲宽度t W 、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用 特点:1.电路结构简单,便于实现数字电路集成化。

2.抗干扰能力强,可靠性高。(例如手机) 3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。 4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机) 应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。 讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。 二、课堂小结 1. 数字信号与模拟信号的概念 2. 脉冲信号及其参数 3. 数字电路的特点及应用 三、课堂思考 讨论:谈谈如何才能学好数字电路课程? 四、课后练习 P143思考与练习题:1、 2、3。 【课题】 7.2 常用数制与编码 【教学目的】 1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。 2.了解8421BCD码的表示形式。 【教学重点】 1.二进制、十六进制数的表示方法。 2.数字电路中为什么广泛采用二、十六进制数。 3.为什么要进行不同数制之间的转换。 4.进行二进制、十进制数、十六进制之间的相互转换。 5. 8421BCD码。 【教学难点】

数字电子技术基础实验指导书1

数字电子技术基础实验指导书

实验一、认识实验 一、实验目的:1、熟悉面包板的结构 2、进一步掌握与非门、或非门、异或门的功能 3、初步尝试在面包板上连接逻辑电路 二、实验用仪器:面包板一块 74LS00一块 74LS20一块 74LS02(四二输入或非门)一块、 74LS86(四二输入异或门)一块 万用表一块 导线若干 稳压电源一台 三、面包板和4LS00、74LS20、74LS02、74LS86的介绍: 1 面包板上的小孔每5个为一组,其内部有导线相连。横排小孔是4、3、4(3、4、3)的结构,即每5*4(5*3)、5*3(5*4)、5*4(5*3)组横排小孔内部有导线相连。用到的双列直插式集成块跨接在凹槽两边,管脚插入小孔。通常用面包板的上横排小孔接电源,用下横排小孔接地。 2、74LS00的内部结构示意图: 74LS00的管脚排列如上图所示,为双列直插式14管脚集成块,是四集成二输入与非门。 74LS20是二四输入与非门。 VCC 3A 3B 3Y 4A 4B 4Y VCC 2A 2B NC 2C 2D 4Y 1A 1B 1Y 2A 2B 2Y GND 1A 1B NC 1C 1D 1Y GND 74LS00 74LS20

1Y 1A 1B 2Y 2A 2B GND 1A 1B 1Y 2A 2B 2Y GND 四、实验内容与步骤: 1、测试面包板的内部结构情况: 用两根导线插入小孔,用万用表的电阻挡分别测试小孔组与组之间的导通情况,并记录下来。 2、验证与非门的逻辑功能: 1)将4LS00插入面包板,并接通电源和地。 2)选择其中的一个与非门,进行功能验证。 3)、将验证结果填入表1: 表1 其中,A 、B 1”时,输入端接电源;Y 是输出端,用万用表(或发光二极管)测得在不同输入取值组合情况下的输出,并将结果填入表中。 5)分析测得的结果是否符合“与非”的关系。 *3、以同样的方法验证四输入“与非门”、“或非”门、“异或”门的功能。 4、用TTL 与非门实现“或”逻辑Y=A+B 1)将Y=A+B 变成与非表达式 2)利用“与非”门实现逻辑电路,并验证逻辑功能是否正确,将验证结果填入表2。 表2 3注意:带*号的为选做内容。 五、实验总结报告的写法: 实验题目: 一、实验目的: 二、实验用仪器: 三、实验内容及步骤:将实验中出现的问题、现象、 及结果随时记录下来。 四、实验结果分析:将实验中出现的现象、结果进 行分析。 五:实验总结:总结这次实验的得失成败。 *布线规则:横平竖直拐直角,不交叉,不架空。 *TTL 与非门使用注意事项:1、电源电压+5V 。

《电子技术基本》数字电路备课教案(张兴龙主编教学材料)

学生情况分析 该门课程所授对象是电子20和电子22班,两个班的学生都接近50人,均为二年一期学生。该批学生已经学习了《电子技术基础》的模拟电路的大部分,对专业都有了较为全面的了解,对专业课的学习方法都有一定的掌握,并学习过《电工基础》课程且有部分同学通过了电工证的考试,还学习过电子技能训练,掌握了基本工具的使用,具备一定的制作能力并有浓厚的兴趣。他们都还处于入门期,对知识的渴望较高,对专业课的反映很好。这些都是有利的方面。 不利的方面也是有的,诸如存在学生之间发展不平衡:有的课外参加过制作培训,甚至有少部分同学对电视机维修都有较好的掌握,而有同学对起码的制作还没入门,更有甚者有学生还不会使用万用表。还存在班级发展不平衡:由于电子20班与电子22班在以前的授课中专业老师不一样,各任课教师的侧重点也各不相同,使得班级之间有各方面的差异。随着《电子技术基础》一年二期的学习,有部分同学产生了畏难情绪,失去了学习兴趣。这两个班都有少部分同学是从电子23班转入的,在学生不平衡方面就尤为明显。

当然,教学过程本身就是要针对学生的不同状况做出相应的布置,让学生能学有所获。在对教材处理上,在教学方法上,在教学辅导等等各教学环节上都要有针对性的去解决问题,达到建立学生的学习兴趣,构成学生的知识个性。使学生能成为社会的中等技术工人,并具备后绪发展能力。 教材分析 该课程选用的由张龙兴主编的《电子技术基础》,由高等教育出版社出版,是教育部规划教材。全书分两篇,第一篇模拟电路基础,第二篇数字电路基础。第一篇学生已经在一年二期学习了大部分内容,只有集成运放一节没有学习。第二篇数字电路包括逻辑门电路、数字逻辑基础、组合逻辑电路、集成触发器、时序逻辑电路、脉冲的产生和整形电路、数模和模数转换、智能化电子系统简介八个章节。 在教学中不可能面面具到,就需要适度的对教材进行处理,只能以部分为重点,根据学生的实际情况和教材内容,在教学中侧重于逻辑门电路(8课时)、数字逻辑基础(10课时)、组合逻辑电路的教学(14课时)、

电力电子技术实验

实验一锯齿波同步移相触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步移相触发电路的调试方法。 二、实验主要仪器与设备: 三、实验原理 锯齿波同步移相触发电路的原理图如图1-1所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见电力电子技术教材中的相关内容。 图1-1 锯齿波同步移相触发电路原理图 图1-1中,由V3、VD1、VD2、C1等元件组成同步检测环节,其作用是利用同步电压U T来控制锯齿波产生的时刻及锯齿波的宽度。由V1、V2等元件组成的恒流源电路,当V3截止时,恒流源对C2充电形成锯齿波;当V3导通时,电容C2通过R4、V3放电。调节电位器RP1可以调节恒流源的电流大小,从而改变了锯齿波的斜率。控制电压U ct、偏移电压U b 和锯齿波电压在V5基极综合叠加,从而构成移相控制环节,RP2、RP3分别调节控制电压

U ct和偏移电压U b的大小。V6、V7构成脉冲形成放大环节,C5为强触发电容改善脉冲的前沿,由脉冲变压器输出触发脉冲,电路的各点电压波形如图1-2所示。 本装置有两路锯齿波同步移相触发电路,I和II,在电路上完全一样,只是锯齿波触发电路II输出的触发脉冲相位与I恰好互差180°,供单相整流及逆变实验用。 电位器RP1、RP2、RP3均已安装在挂箱的面板上,同步变压器副边已在挂箱内部接好,所有的测试信号都在面板上引出。 图1-2 锯齿波同步移相触发电路各点电压波形(α=90°)

四、实验内容及步骤 1、实验内容: (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 2、实验步骤: (1) 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V±10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压信号和“6”点U6的波形,调节偏移电压U b(即调RP3电位器),使α=170°,其波形如图1-3所示。 图1-3锯齿波同步移相触发电路 (3)调节U ct(即电位器RP2)使α=60°,观察并记录U1~U6及输出“G、K”脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波

相关文档
最新文档