计算机组成原理实验报告说明

计算机组成原理实验报告说明
计算机组成原理实验报告说明

实验一运算器组成实验

一、实验目的

1、掌握运算器的组成及工作原理;

2、了解4位函数运算器74LS181的组合功能,熟悉运算器执行算术和逻辑操作的具体实现过程;

3、验证带进位控制的运算器功能。

二、实验设备

1、EL-JY系列计算机组成及系统结构实验系统一套

2、排线若干。

三、工作原理:

算术逻辑单元ALU是运算器的核心。集成电路74LS181是4位运算器,四片74LS181以并/串形式构成16位运算器。它可以对两个16位二进制数进行多种算术或逻辑运算,74LS181 有高电平和低电平两种工作方式,高电平方式采用原码输入输出,低电平方式采用反码输入输出,这里采用高电平方式。

三态门74LS244作为输出缓冲器由ALU-G信号控制,ALU-G 为“0”时,三态门开通,此时其输出等于其输入;ALU-G 为“1”时,三态门关闭,此时其输出呈高阻。

四片74LS273作为两个16数据暂存器,其控制信号分别为LDR1和LDR2,当LDR1和LDR2 为高电平有效时,在T4脉冲的前沿,总线上的数据被送入暂存器保存。

四、实验内容:

验证74LS181运算器的逻辑运算功能和算术运算功能。

五、实验步骤

1、按照实验指导说明书连接硬件系统;

2、启动实验软件,打开实验课题菜单,选中实验课题打开实验课题参数对话窗口:

1)、在数据总线上输入有效数据,按"Ldr1",数据送入暂存器1;

2)、在数据总线上输入有效数据,按"Ldr2",数据送入暂存器2;

3)、在S3...Ar上输入有效数据组合,按"ALU功能选择端",运算器按规定进行运算,运算结果送入数据缓冲器;

4)、按"ALU_G",运算结果送入数据总线。

5)、执行完后,按"回放",可对已执行的过程回看。

6)、回放结束后,按"继续"(继续按钮在点击回放后出现),进行下次数据输入。

六、实验结果

八、收获与体会

实验二移位运算试验

一、实验目的:

1、掌握移位寄存器的功能及工作原理。

二、实验设备:

1、EL-JY系列计算机组成及系统结构实验系统一套

2、排线若干。

三、工作原理:

功能由S1、S0、M控制,具体功能见表2-2:

表2-2

四、实验内容:

输入数据,利用移位寄存器进行移位操作。

五、实验步骤:

1、按照实验指导说明书连接硬件系统;

2、启动实验软件,打开实验课题菜单,选中实验课题打开实验课题参数对话窗口:

1)、在数据总线上输入有效数据;

2)、在S1S0M299上输入有效数据组合,按"置数",移位寄存器按规定进行置数,“初始值”框显示置数的值。

3)、在S1S0M299上输入有效数据组合,按"移位",移位寄存器按规定进行移位,“上一次移位值”框显示每一次移位前的值;“移位结果”框显示每次移位后的值。移位结果送入总线和进位标识。

4)、执行完后,按"回放",可对已执行的过程回看。

5)、回放结束后,按"继续"(继续按钮在点击回放后出现),进行下次数据输入。

六、收获与体会

实验三存储器读写

一、实验目的:

1、掌握半导体静态随机存储器RAM的特性和使用方法。

二、实验设备:

1、EL-JY系列计算机组成及系统结构实验系统一套

2、排线若干。

三、工作原理:

实验中的静态存储器由2片6116(2K×8)构成,其数据线D0~D15

接到数据总线,地址线A0~A7由地址锁存器74LS273(集成于EP1K10内)给出。黄色地址显示灯A7-A0与地址总线相连,显示地址总线的内容。绿色数据显示灯与数据总线相连,显示数据总线的内容。

因地址寄存器为8位,接入6116的地址A7-A0,而高三位A8-A10接地,所以其实际容量为28=256字节。6116有三个控制线,/CE(片选)、/R(读)、/W(写)。其写时间与T3脉冲宽度一致。

当LARI为高时,T3的上升沿将数据总线的低八位打入地址寄存器。当WEI为高时,T3的上升沿使6116进入写状态。

四、实验内容:

学习静态RAM的存储方式,往RAM的任意地址里存放数据,然后读出并检查结果是否正确。

五、实验步骤:

1、按照实验指导说明书连接硬件系统;

2、启动实验软件,打开实验课题菜单,选中实验课题打开实验课题参数对话窗口:

写存储器:

1)、在数据总线上输入有效数据,按"LAR",数据低八位送入地址总线,选中给定的存储单元;

2)、在数据总线上输入有效数据,置"We"为写状态(WE=0),并按"READ/WRITE",数据送入存储部件,存储部件框显示当前写入的值。此处Ce0为系统RAM的片选,Ce1为扩展RAM的片选。在本实验中,Ce0Ce1恒为“01”表示只有系统RAM有效。

读存储器:

1)、在数据总线上输入有效数据,按"LAR",数据低八位送入地址总线,选中给定的存储单元;

2)、置"WeCe"为读状态(WE=1),并按"READ/WRITE",存储部件将数据送入数据总线,并在存储部件框显示当前读出的值。在本实验中,Ce0Ce1恒为“01”表示只有系统RAM有效。

回放:

1)、执行完后,按"回放",可对已执行的过程回看。

2)、回放结束后,按"继续"(继续按钮在点击回放后出现),进行下次数据输入。

六、收获与体会

实验四微程序控制器原理试验

一、实验目的:

1、掌握微程序控制器的组成及工作过程;

2、通过若干条微指令的读写实验,理解微程序控制器的工作原理。

二、实验设备:

1、EL-JY系列计算机组成及系统结构实验系统一套

2、连接线若干。

三、实验原理

1、写入微指令

在写入状态下,K2须为高电平状态,K3须接至脉冲/T1端,否则无法写入。MS1

—MS24为24位写入微代码,uA5—uA0为写入微地址。K1须接低电平使74LS374有效,在脉冲T1时刻,uAJ1的数据被锁存形成微地址,同时写脉冲将24位微代码写入当前微地址中。

2、读出微指令

在写入状态下,图4-1(a)中K2须为低电平状态,K3须接至高电平,K1须接低电平使74LS374有效,在脉冲T1时刻,uAJ1的数据被锁存形成微地址uA5—uA0,同时将当前微地址的24位微代码由MS1—MS24输出。

四、实验内容:

往EEPROM里任意写24位微代码,并读出验证其正确性。

五、实验步骤:

1、按照实验指导说明书连接硬件系统;

2、启动实验软件,打开实验课题菜单,选中实验课题打开实验课题参数对话窗口:

微代码写入

1)、在6位微地址输入和24位微代码写入上输入有效数据(B表示二进制,H表示十六进制);

2)、置"K4...K1"为写状态(K4K3K2K1=0010),并将实验箱上的这4个开关拨至相应的状态(ON为1,OFF为0),然后按"CONTROL",微代码送入微程序控制存储器,并显示当前读入的数据。

微代码读出

1)、在6位微地址输入上输入有效二进制数据;

2)、置"K4...K1"为读状态(K4K3K2K1=0100),并将实验箱上的这4个开关拨至相应的状态(ON为1,OFF为0),然后按"CONTROL",读出的微代码以十六进制格式显示在“微代码读出”数据框中。

回放

1)、执行完后,按"回放",可对已执行的过程回看。

2)、回放结束后,按"继续"(继续按钮在点击回放后出现),进行下次数据输入。

六、收获与体会

实验五微程序设计试验

一、实验目的:

1、掌握微程序控制器的组成及工作过程;

2、通过用单步方式执行若干条微指令的实验,理解微程序控制器的工作原理。

二、实验设备:

1、EL-JY系列计算机组成及系统结构实验系统一套

2、连接线若干。

三、实验原理

1、写入微指令

原理同实验4同

2、读出微指令

原理同实验4同

3、运行微指令

在运行状态下,K2接低电平,K3接高电平,K1接高电平。使控制存储器2816处于读出状态,74LS374无效因而微地址由微程序内部产生。在脉冲T1时刻,当前地址的微代码由MS1—MS24输出;T2时刻将MS24—MS7打入18位寄存器中,然后译码输出各种控制信号;在同一时刻MS6—MS1被锁存,然后在T3时刻,由指令译码器输出的SA5—SA0将其中某几个触发器的输出端强制置位,从而形成新的微地址uA5—

uA0,这就是将要运行的下一条微代码的地址。当下一个脉冲T1来到时,又重新进行上述操作。

四、实验内容:

编写几条可以连续运行的微代码,熟悉本实验系统的微代码设计方式。表5

五、实验步骤:

1、按照实验指导说明书连接硬件系统;

2、启动实验软件,打开实验课题菜单,选中实验课题打开实验课题参数对话窗口:

微代码写入

1)、在6位微地址输入和24位微代码写入上输入有效数据(B表示二进制,H表示十六进制);

2)、置"K3...K0"为写状态(K4K3K2K1=0010),并将实验箱上的这4个开关拨至相应的状态(ON为1,OFF为0),然后按"CONTROL",微代码送入微程序控制存储器。

微代码读出

1)、在6位微地址输入上输入有效二进制数据;

2)、置"K3...K0"为读状态(K4K3K2K1=0100),并将实验箱上的这4个开关拨至相应的状态(ON为1,OFF为0),然后按"CONTROL",读出的微代码以十六进制格式显示在“微代码读出”数据框中。

微代码单步执行

1)、在“下一个微地址”栏上输入“”;

2)、置"K3...K0"为运行状态(K4K3K2K1=0101),并将实验箱上的这4个开关拨至相应的状态(ON为1,OFF为0),拨动实验箱上的开关“CLR”对微地址清0。然后每按一次"CONTROL",执行一条微代码,同时显示出已执行的微代码、微地址以及下一条微代码的地址。

回放

1)、执行完后,按"回放",可对已执行的过程回看。

2)、回放结束后,按"继续"(继续按钮在点击回放后出现),进行下次数据输入。

六、收获与体会

实验六总线控制实验

一、实验目的:

1、了解总线的概念及其特性。

2、掌握总线的传输控制特性。

二、实验设备:

EL-JY-II型计算机组成原理实验系统一套,排线若干。

三、实验说明

1、总线的基本概念

总线是多个系统部件之间进行数据传送的公共通路,是构成计算机系统的骨架。借助

总线连接,计算机在系统各部件之间实现传送地址、数据和控制信息的操作。因此,所谓总线就是指能为多个功能部件服务的一组公用信息线。

2、实验原理说明

在本实验中,挂接在数据总线上的有输入设备、输出设备、存储器和加法器。为了使它们的输出互不干扰,就需要这些设备都有三态输出控制,且任意两个输出控制信号不能同时有效。

四、实验连线

按实验内容进行连线

五、实验步骤

1、按照上图所示将所有连线接好。

2、总线初始化。关闭所有三态门置控制开关ALU_G=1(加法器控制信号),CA1=1(显

示输出),CA2=1(数据输入),CE=1(存储器片选)。其它控制信号为LOAD=0,AR=0,LPC=0,C=1,WE=1,A=1,B=1。

3、将D15—D0拨至“10100”,置CA2=0,LOAD=1,然后置LOAD=0,将“1234H”

打入LT1寄存器。

4、将D15—D0拨至“11000”,置AR=1,然后置AR=0,将“5678H”打入LT2寄存

器。

5、将S3S2S1S0MCN拨至“”,计算两数之和。

6、将D7—D0拨至“”,置LPC=1,然后置LPC=0,将“01H”打入地址寄存器。

7、置CA2=1,ALU-G=0,WE=0,CE=0,将上述计算结果写入当前地址的存储器中。

然后置CE=1,WE=1。

8、置ALU-G=1,CE=0,CA1=0,C=0,将当前地址的存储器中的数输出至数码管,

然后置C=1,CE=1,CA1=1。

六、实验结果

照以上8步操作完成后,输出显示电路LED上显示“68AC”。

实验报告模版与说明

东莞理工学院信号与系统实验报告 班级: 姓名: 学号: 指导老师: 日期: 一、实验名称: 利用MA TLAB 进行离散时间信号与系统的Z 域分析(实验八) 二、实验目的 1、学会用MATLAB 进行Z 域部分分式展开; 2、学会用MATLAB 分析离散LTI 系统的特性; 3、学会用MATLAB 进行Z 正、反变换。 三、实验原理及内容 1、用MATLAB 进行Z 域部分分式展开 信号的Z 域表示式通常可用下面的有理分式表示 )()(1)(221122110z den z num z a z a z a z b z b z b b z F n n m m =++++++++=------ 为了能从系统的Z 域表示式方便地得到其时域表示式,可以将)(z F 展开成部分分式之和的形式,再对其取Z 反变换。MATLAB 的信号处理工具箱提供了一个对)(z F 进行部分分式展开的函数residuez ,其调用格式为 ),(],,[den num residuez k p r = 其中,num ,den 分别表示)(z F 的分子和分母多项式的系数向量,r 为部分分式的系数,p 为极点,k 为多项式的系数。若)(z F 为有理真分式,则k 为零。 例8-1 试用MATLAB 对 321431818)(-----+=z z z z F 进行部分分式展开。 解:计算程序如下: num=[18]; den=[18,3,-4,-1]; [r,p,k]=residuez(num,den) 运行结果为: r=0.3600 0.2400 0.4000 p=0.5000 -0.3333 -0.3333

实验报告格式范文

实验报告格式范文 实验一撰写可行性研究报告 一、实验目的 1、掌握可行性研究步骤; 2、学习编制可行性研究报告。 二、实验要求 硬件:Intel Pentium 120 或以上级别的CPU,大于16MB的内存。 软件:Win dows 95/98/2000 操作系统,Office 97/2000 软件 学时:2学时 写岀此项实验报告 三、实验内容 1、可行性研究(结构化分析)方法; 2、绘制数据流图,使用Word写实验报告。 四、实验步骤 1 ?引言 1.1 编写目的 可行性研究的目的是为了对问题进行研究,以最小的代价在最短的时间内确定问题是否可解。 经过对此项目进行详细调查研究,初拟系统实现报告,对软件开发中将要面临的问题及其解决方案进行初步设计及合理安排。明确开发风险及其所带来的经济效益。本报告经审核后,交软件经理审查。 1 . 2 项目背景 (1 )待开发的软件产品名称:旅行社机票预定系统。 (2)本项目的提岀者:冯剑。开发者:李翀。用户:旅行社 (3)本软件产品将用于旅行社的机票预定和费用的记录。

1 . 3术语说明 DFD (数据流图):一种描述书记变换的图形工具,是结构化分析方法最普遍采用的表示手段,但数据流图并不是结构化分析模型的全部,数据字典和小说明为数据流图提供了补充,并用以验证图形表示的正确性、一致性和完整性,三者共同构成了被建系统的模型。 1 . 4.系统参考文献 参考文献见附录 2?可行性研究的前提 2.1基本要求 ⑴功能 本软件实现的功能有:为游客提供机票预定服务,提高旅游局的服务质量和服务效率。 对航班数据库的查询和修改,对机票费用记帐数据库的查询和修改,记录旅客信息(姓名、性别、年龄、身份证号、单位、旅行时间、目的地)、航班时间和班次,打印机票和帐单。 (2) 性能 时间:提供的信息必须及时的反映在工作平台上。售票系统的定单必须无差错的存 储在机场的主服务器上。对服务器上的数据必须进行及时正确的刷新。一笔业务在一分钟内完成。空间:运行空间 2M。 (3) 系统的输入和输岀 输入:旅行社定票单。数据完整,详实。 输岀:机票、帐单。简捷,快速,实时。 (4) 处理流程 旅行社将定票信息输入定票系统,系统输岀机票和帐单给旅客。 5 )安全保密要求

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

ppt实验报告自我介绍

ppt实验报告自我介绍 篇一:PPT 案例自我介绍实验 个人介绍:演示文稿案例设计实验报告 项目名称:个人介绍案例分组情况:1 人/组任课教师:实验指导教师: 篇二:自我介绍PPT作业 湖北工业大学计算机学院 实验指导书 20XX ~20XX 学年第 1 学期 系部软件工程系 课程名称计算机基础 任教对象万思成 主讲教师陈韶斌 职称职务讲师 使用教材大学计算机基础实践教程 实验1 PowerPoint20XX的使用实验(2学时) 一、实验目的 1、熟练掌握PowerPoint20XX的基本操作 2、了解PowerPoint20XX的常用技巧 二、实验任务 1、学会创建新的演示文稿

2、学会修改演示文稿中的文字及在演示文稿中插入图片 3、学会为演示文稿设置背景 4、学会在演示文稿上自定义动画 三、实验内容 1. 创建新的演示文稿 【操作步骤】 (1) 启动PowerPoint20XX后,系统会自动新建一个空白演示文稿,用户可以直接利用此空白演示文稿工作。或者。 (2) 单击窗口左上角的“文件”按钮,在弹出的命令项中选择“新建”; (3) 系统会显示“新建演示文稿”对话框; (4) 在对话框中按照“可用模板和主题”来创建空白演示文稿。 2. 修改演示文稿中的文字及在演示文稿中插入图片 【操作步骤】 (1) 打开已有的演示文稿; (2) 选择要修改的文稿页,选择其中的文字,按照Word 中进行xx的方法修改文字; (3) 在演示文稿的普通视图中,选择要插入图片的文稿页; (4) 根据需要,选择菜单栏中的“插入”|“图像”组

中“图片”选项,找到自己想要的图片,单击“打开”按钮。 3. 为演示文稿设置背景 【操作说明】 背景是演示文稿外观设计的一个部分,它包括阴影、模式、纹理、图片等。如果创建的是一个空白演示文稿,可以先为演示文稿设置一个合适的背景;如果是根据模板创建的演示文稿,当其和新建主题不合适时,也可以改变背景。 【操作步骤】 (1) 新建一篇空白演示文稿,选择“设计”选项卡,在“背景”栏中单击“背景样式”按钮,弹出“背景样式”下拉框。 (2) 可以直接选中下拉框中给出的背景样式,也可以选择“设置背景格式”选项,弹出“设置背景格式”对话框。 (3) 在对话框中,有4种填充形式:纯色填充、渐变填充、图片或纹理填充和图案填充。选择一种需要的填充形式,如选择“图片或纹理填充”选项。 (4) 选择了“图片或纹理填充”选项后,在“插入自”栏下方单击“剪贴画”按钮,弹出“选择图片”对话框,在该框中选择合适的剪贴画,单击“确定”按钮即可。 (5) 在演示文稿xx区会看到效果,如果不太满意,可以选择“设置背景格式”对话框的“图片颜色”按钮,选择

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

实验报告评语

实验报告评语 1、书写认真,干净。实验步骤清晰 2、书写整齐,实验数据真实,明确 3、书写杂乱, 4、实验目的明确,经过数据分析等到的结果很好 5、实验过程有些乱,但总体还好 6、实验设计合理,数据正确 7、通过这份实验报告,可以看出你能很好的完成实验 8、看了这份实验报告,可以看出你对知识的掌握很好 9、通过实验报告,可以看出你严谨的实验态度 学校班级 组别姓名 实验题目:氧气的实验室制取及其化学性质 实验用品:铁架台、大试管、带导管的单孔橡胶塞、集气瓶、水槽、毛玻璃片、药匙、酒精灯、火柴、高锰酸钾、木炭、澄清的石灰水、脱脂棉、水、细木条、剩余药品的回收容器。 实验过程:按照实验内容和步骤完成实验,并将表格中空格部分补充完整。 1 2 学校班级 组别姓名 实验题目:燃烧的条件

实验用品:酒精灯、蜡烛、玻璃棒、玻璃片、火柴、纸盒、小木条、水、坩埚钳、剩余药品的回收容器。 实验过程:按照实验内容和步骤完成实验,并将表格中空格部分补充完整。 3 4 学校班级 组别姓名 实验题目:质量守恒定律 实验用品:托盘天平、砝码、烧杯、镊子、CuSO4溶液、铁钉、砂纸、剩余药品的回收容器。 实验过程:按照实验内容和步骤完成实验,并将表格中空格部分补充完整。 石家庄铁道大学 实验报告 课程名称:管理信息系统任课教师: 陈艳春实验日期: 班级: 姓名:学号: 第 1 页共 4 页 第 2 页共 4 页 第 3 页共 4 页 第 4 页共 4 页 1、书写认真,干净。实验步骤清晰 2、书写整齐,实验数据真实,明确 3、书写杂乱, 4、实验目的明确,经过数据分析等到的结果很好 5、实验过程有些乱,但总体还好 6、实验设计合理,数据正确

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理实验五

上海大学计算机学院 《计算机组成原理实验》报告一 姓名:学号:教师: 时间:机位:报告成绩: 实验名称:指令系统实验 一、实验目的:1. 读出系统已有的指令,并理解其含义。 2. 设计并实现一条新指令。 二、实验原理:利用CP226实验仪(用74HC754即8D型上升沿触发器)上的K16…K23 开关为数据总线DBUS设置数据,其他开关作为控制信号,一条指令执行完 毕PC会自动加1,系统顺序执行下一条指令,但系统要进入一个新的指令序 列时,如跳转、转子程序等,必须给PC打入新的起始值——新指令序列的 入口地址。实验箱实现把数据总线的值(目标地址)打入PC的操作,以更新 PC值。 三、实验内容:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 四、实验步骤:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) ①在初始化系统(Reset),进入微程序存储器模式(μEM状态),用NX键观 察64H,65H,66H,67H, 地址中原有的微指令,分析并查表确定其功能。 ②在EM状态下,Adr打入A0,DB打入64;按NX键,Adr显示A1,DB 打入E8。 ③在μEM状态下,在E8H、E9H、EAH、EBH下分别打入:FFDED8、CBFFFF、 FFFFFF、FFFFFF。 ④给μPC状态下,打入μPC(00)、PC(A0)、A(11)、W(00),按3次 NX输入R0(77)。 ⑤按下STEP键,观察实验现象。 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 ⑥继续按STEP键,直到进入E8状态下。 ⑦在EM状态下,打入Adr为77,DB为56。 ⑧按STEP键执行指令,观察实验现象。 五、实验现象:OUT寄存器的值为5A。 六、数据记录、分析与处理:实验结果和预期的一样。 七、实验结论:1、机器指令64对应的各微指令码为:FF77FF、D7BFEF、FFFE92、CBFFFF。其功能为:将R0寄存器的值打入地址寄存器MAR;存贮器EM将MAR输出地址所对应的值打入W寄存器;ALU直通门输出的值打入A寄存器,A、W中的值进行“与”运算,结果在A输出;PC+1,读出下一条指令并立即执行。 八、建议:暂无。

用地适宜性评价实验报告

本科生实验(实习)报告 学院: 学年学期:学年学期 课程名称:地理信息系统软件应用 学时数: 班级: 姓名: 学号: 指导教师: 教务处印制

资源与环境学院实验(实习)报告专业班级:专业级学号: 课程名称:地理信息系统软件应用指导教师: 实验时间:成绩: 第四章城市用地适宜性评价 启动Arcmap加载练习数据,自定义-拓展模块-spatial analyst。 4.2单因素适宜性评价分级 4.2.1交通便捷性 打开道路图层属性表,在表选项弹出菜单选择打开属性表,按属性选择类型=省道。 在工具箱中打开多环缓冲区构建离省道距离的多环缓冲区。

同上述操作输出要素离县道距离的缓冲区,如图。 利用工具箱中的联合工具综合省道缓冲区和县道缓冲区,输出要素类交通便捷性评价。 在要素类交通便捷性评价的属性表中添加短整型评价值字段,打开字段编辑器,输入如图代码,让评价值等于自定义变量value,使得value值由离省道距离和离县道距离决定。

利用工具箱中的面转栅格,设置像元大小为10,在环境设置中设置范围为与图层研究范围相同,转换为和研究范围一致的栅格图像。 4.2.2环境适宜性 计算河流缓冲区,勾选仅外部多边形,计算溪流缓冲区,联合叠加输出滨水环境评价要素类,编辑代码综合评价,转换成栅格数据步骤同上。

计算工业区缓冲区,勾选仅外部多边形,利用工具箱更新工具更新叠加,设置多出来的记录离工业距离字段为0,编辑代码综合评价,转换成栅格数据步骤同上。

森林环境评价步骤同工业污染评价。 4.2.3城市氛围评价 对居民点图层中的城镇和村庄要素做缓冲区并更新叠加,联合叠加得到城市氛围评价,编辑代码综合评价,转换成栅格数据步骤同上。 4.2.4地形适宜性评价 打开工具箱重分类工具,应提前加载,设置如图数据进行高程重分类得到高程评价,同步骤进行坡度评价。

实验报告内容与格式

实验报告内容与格式标准化管理部编码-[99968T-6889628-J68568-1689N]

把实验的目的、方法、过程、结果等记录下来,经过整理,写成的书面汇报,就叫实验报告。 实验报告的种类因科学实验的对象而异。如化学实验的报告叫化学实验报告,物理实验的报告就叫物理实验报告。随着科学事业的日益发展,实验的种类、项目等日见繁多,但其格式大同小异,比较固定。实验报告必须在科学实验的基础上进行。它主要的用途在于帮助实验者不断地积累研究资料,总结研究成果。 实验报告的书写是一项重要的基本技能训练。它不仅是对每次实验的总结,更重要的是它可以初步地培养和训练学生的逻辑归纳能力、综合分析能力和文字表达能力,是科学论文写作的基础。因此,参加实验的每位学生,均应及时认真地书写实验报告。要求内容实事求是,分析全面具体,文字简练通顺,誊写清楚整洁。 实验报告内容与格式 (一) 实验名称 要用最简练的语言反映实验的内容。如验证某程序、定律、算法,可写成“验证×××”;分析×××。 (二) 所属课程名称 (三) 学生姓名、学号、及合作者 (四) 实验日期和地点(年、月、日) (五) 实验目的 目的要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 (六) 实验内容 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程。 (七) 实验环境和器材 实验用的软硬件环境(配置和器材)。 (八) 实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装置的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 (九) 实验结果 实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1。文字叙述: 根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。 2。图表: 用表格或坐标图的方式使实验结果突出、清晰,便于相互比较,尤其适合于分组较多,且各组观察指标一致的实验,使组间异同一目了然。每一图表应有表目和计量单位,应说明一定的中心问题。

计算机组成原理实验五存储器读写实验

实验五 存储器读写实验实验目的 1. 掌握存储器的工作特性。 2. 熟悉静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 表芯片控制信号逻辑功能表

2. 存储器实验单元电路 芯片状态 控制信号状态 DO-D7 数据状态 M-R M -W 保持 1 1 高阻抗 读出 0 1 6116-^总钱 写人 1 0 总线-*6116 无效 报警 ^2-10 D7—DO A7—A0

團2-8存储器实验电路逻辑图 三、实验过程 1. 连线 1) 连接实验一(输入、输出实验)的全部连线。 2) 按逻辑原理图连接M-W M-R 两根信号低电平有效信号线 3) 连接A7-A0 8根地址线。 4) 连接B-AR 正脉冲有效信号 2. 顺序写入存储器单元实验操作过程 1) 把有B-AR 控制开关全部拨到0,把有其他开关全部拨到1,使全部信号都处 于无效 状态。 2) 在输入数据开关拨一个实验数据,如“ 00000001”即16进制的01耳 把IO-R 控制开关拨下,把地址数据送到总线。 3) 拨动一下B-AR 开关,即实现“1-0-1 ”产生一个正脉冲,把地址数据送地 址寄存器保存。 4) 在输入数据开关拨一个实验数据,如“ 10000000',即16进制的80耳 把IO-R 控 制开关拨下,把实验数据送到总线。 3. 存储器实验电路 0 O O 0 0 olo O O O O 0 00 OUTPUT L/O :W 8-AR £ ■」2 ■七 ol^Fgr' L P O 74LS273 A7- AO vz 0 o|o 0 r 6116 A7 INPUT D7-O0 [olololololololol T2

作业实验报告评语大全

作业实验报告评语大全 Standardization of sany group #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

1、实验目的明确,设计合理,实验数据正确;可看出在实验中操作步骤和过程完整,同时将知识能够很好地运用将课堂知识运用到实践。 2、实验中实验数据准确,记录完整,图形设计合理,表明在实验中善于发现问题,分析问题,并结合理论知识解释和解决问题,实验内容和结果也 完善。 3、实验表明该生具有一定的研究、分析和解决问题的能力,能够及时完成任务;并且一定的独特见解,实验数据和结果正确,完成质量好。 4、实验任务按时完成,设计效果符合要求,内容详细,介绍完整;图表完备、符合规范要求,能对整个过程进行全面的总结,得出有价值的实验结 果。 5、实验中操作过程和结果合理完整,完成及时,质量较好;表明学生能够将课堂上学习的知识灵活的运用到实验中去,并能够很好地具体描述说明 按时按量的完成了老师的作业,实验步骤和过程完整,在实验报告的填写中态度十分严谨,属于个人独立完成,我希望你能在往后的学习中更加的认 真。 按时按量完成实验。实验步骤和过程完整,老师在课堂上提出的问题也在实践中得到了检验,在实验报告的填写中态度十分严谨,属于个人独立完成 ,我希望你能在往后的学习中更加的认真。学习过程中也要加入自己更好的想法。 在实验报告的填写中态度十分严谨,属于个人独立完成,我希望你能在往后的学习中更加的认真,也希望你能在今后的实验学习中做的更好。 你这次的实验报告填写认真,有自己的见解,内容步骤都很详细,但是实验报告中的专业栏和时间栏都没完善,希望以后有科学的态度,完成好每次 实验。 该同学的实验态度认真,实验报告完成较好,能及时上交,截图不能多,截图只能是文字描述的补充,不能代替文字报告,希望以后注意。 这次实验可以看出该同学的认真态度,完成的操作步骤明朗,没有错误。但还是不太熟练,要多家练习,熟悉掌握所学的知识。 该同学上课认真听讲,能够按时积极的完成老师布置的各项作业。实验操作的时候能够自己动手处理,遇到不懂得及时询问。实验报告写得详细具体 。 该同学能够按时完成项实验,实验操作的时候能够自己动手处理,遇到不懂得及时询问。实验报告写得较好,比较详细具体。 在实验中能发现问题,在教师的启发下能解决问题,在报告中讨论了这些问题,实验报告认真,实验结论有较好的见解和体会。把所学知识在实验中 进行了检验。 有较好的实验结果和实验报告,看来你花了不少的时间和精力。实验还有一些可以完善的地方,以后需要继续努力.但实验格式不符合要求,望下次 有所改进。 该学生按时完成实验,步骤和目标正确;实验内容和过程记录完整;实验的心得或讨论较好;实验报告认真、格式准确。希望更进一步努力。 思路清晰,设计合理,操作步骤和过程完整

计算机组成原理实验实验报告

计算机组成原理实验报告 学院信息与管理科学学院 专业班级计算机科学与技术2010级2班学生姓名毛世均 1010101046 指导教师郭玉峰 撰写日期:二○一二年六月四日

SA4=1 1.根据上边的逻辑表达式,分析58页图6-2的P1测试和P4测试两条指令的微地址转移方向。 P1测试:进行P1测试时,P1为0,其他的都为1, 因此SA4=1, SA3=I7,SA2=I6,SA1=,SA0=I4 微地址011001,下址字段为001000下址字段001000译码后,高两位不变,仍然为00,低四位受到机器指令的高四位I7-I4的影响。 机器指令的高四位为0000时,下一条微指令地址为001000,转到IN 操作。机器指令高四位0010时,下一条微指令地址为001010,转到MOV 操作。机器指令高四位为0001时,下一条微指令地址为001001,转到ADD 操作。机器指令高四位为0011时,下一条微指令地址为001011,转到OUT 操作。机器指令高四位为0100时,下一条微指令地址001100,转到JMP 操作 P4测试:进行P4测试时,P4为0,其他的都为1. 因此SA4=SA3=SA2=1,SA1=CA2,SA0=CA1 微地址000000,下址字段为010000. 010000被译码之后,高四位不变,0100低两位由CA2和CA1控制。CA2和CA1的值是由单片机的键盘填入控制的。 当实验选择CtL2=1时,CA2和CA1被填入0和1,这时低两位被译码电路翻译成01,所以下一条微地址就是010001,然后进入写机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和0,这时低两位被译码电路翻译成10,所以下一条微地址就是010010,然后进入读机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和1,这时低两位被译码电路翻译成 11,所以下一条微地址就是010011,然后进入运行机器指令的状态。 2.分析实验六中五条机器指令的执行过程。

计算机组成原理实验报告5- PC实验

2.5 PC实验 姓名:孙坚学号:134173733 班级:13计算机日期:2015.5.15 一.实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,实现程序计数器PC的写入及加1 功能。 二.实验目的:1、了解模型机中程序计数器PC的工作原理及其控制方法。2、了解程序执行过程中顺序和跳转指令的实现方法。 三.实验电路:PC 是由两片74HC161构成的八位带预置记数器,预置数据来自数据总线。记数器的输出通过74HC245(PCOE)送到地址总线。PC 值还可以通过74HC245(PCOE_D)送回数据总线。 PC 原理图 在CPTH 中,PC+1 由PCOE 取反产生。 当RST = 0 时,PC 记数器被清0 当LDPC = 0 时,在CK的上升沿,预置数据被打入PC记数器 当PC+1 = 1 时,在CK的上升沿,PC记数器加一 当PCOE = 0 时,PC值送地址总线

PC打入控制原理图 PC 打入控制电路由一片74HC151 八选一构成(isp1016实现)。 当ELP=1 时,LDPC=1,不允许PC被预置 当ELP=0 时,LDPC 由IR3,IR2,Cy,Z确定 当IR3 IR2 = 1 X 时,LDPC=0,PC 被预置 当IR3 IR2 = 0 0 时,LDPC=非Cy,当Cy=1时,PC 被预置 当IR3 IR2 = 0 1 时,LDPC=非Z,当Z=1 时,PC 被预置 连接线表 四.实验数据及步骤: 实验1:PC 加一实验

置控制信号为: 按一次STEP脉冲键,CK产生一个上升沿,数据PC 被加一。 实验2:PC 打入实验 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H 置控制信号为: 每置控制信号后,按一下STEP键,观察PC的变化。 五.心得体会: 经过上一个实验的练习,在做这个实验的时候更加得心应手,了解了模型机中程序计数器PC的工作原理及其控制方法,还有了解了程序执行过程中顺序和跳转指令的实现方法。

作业实验报告评语大全

1、实验目的明确,设计合理,实验数据正确;可看出在实验中操作步骤和过程完整,同时将知识能够很好地运用将课堂知识运用到实践。 2、实验中实验数据准确,记录完整,图形设计合理,表明在实验中善于发现问题,分析问题,并结合理论知识解释和解决问题,实验内容和结果也 完善。 3、实验表明该生具有一定的研究、分析和解决问题的能力,能够及时完成任务;并且一定的独特见解,实验数据和结果正确,完成质量好。 4、实验任务按时完成,设计效果符合要求,内容详细,介绍完整;图表完备、符合规范要求,能对整个过程进行全面的总结,得出有价值的实验结 果。 5、实验中操作过程和结果合理完整,完成及时,质量较好;表明学生能够将课堂上学习的知识灵活的运用到实验中去,并能够很好地具体描述说明 按时按量的完成了老师的作业,实验步骤和过程完整,在实验报告的填写中态度十分严谨,属于个人独立完成,我希望你能在往后的学习中更加的认 真。 按时按量完成实验。实验步骤和过程完整,老师在课堂上提出的问题也在实践中得到了检验,在实验报告的填写中态度十分严谨,属于个人独立完成 ,我希望你能在往后的学习中更加的认真。学习过程中也要加入自己更好的想法。

在实验报告的填写中态度十分严谨,属于个人独立完成,我希望你能在往后的学习中更加的认真,也希望你能在今后的实验学习中做的更好。 你这次的实验报告填写认真,有自己的见解,内容步骤都很详细,但是实验报告中的专业栏和时间栏都没完善,希望以后有科学的态度,完成好每次 实验。 该同学的实验态度认真,实验报告完成较好,能及时上交,截图不能多,截图只能是文字描述的补充,不能代替文字报告,希望以后注意。 这次实验可以看出该同学的认真态度,完成的操作步骤明朗,没有错误。但还是不太熟练,要多家练习,熟悉掌握所学的知识。 该同学上课认真听讲,能够按时积极的完成老师布置的各项作业。实验操作的时候能够自己动手处理,遇到不懂得及时询问。实验报告写得详细具体 。 该同学能够按时完成项实验,实验操作的时候能够自己动手处理,遇到不懂得及时询问。实验报告写得较好,比较详细具体。 在实验中能发现问题,在教师的启发下能解决问题,在报告中讨论了这些问题,实验报告认真,实验结论有较好的见解和体会。把所学知识在实验中 进行了检验。 有较好的实验结果和实验报告,看来你花了不少的时间和精力。实验还有一些可以完善的地方,以后需要继续努力.但实验格式不符合要求,望下次

实验报告格式及写法

实验报告格式及写法 (一)实验报告的特点 1.实录性实验报告是实验研究工作的如实记录。内容包括整个实验的主要过程,如实验步骤、方法、实验结果等。 2.科学性科技实验报告既可以描述创新的内容,又可以记述重复实验的工作。另外,实验报告可以不要求具有明确的结论,只要对科学研究有参考或借鉴价值,无论结果是否达到预期要求,都可以写成科学实验报告。 3.目的性以如实记载实验过程与结果为目的的所有科学实验工作都可以写成科技实验报告 4.规范性一般的实验报告如分析报告、教学中的实(试)验报告、病理化验单等,内容比较单一,而且项目固定,并按一定的格式印成表,由实验者根据要求逐项填写;比较复杂的实验,要按一定的格式写成实验报告,其写作方法具有特定的规范性。 (二)实验报告的种类 1.教学实验报告这类实验报告主要指理工科大学生撰写的实验报告。重复科学技术史上前人已做过的实验,目的是为了验证某一学科定律或结论,训练学生的动手能力和表达能力。其实验步骤和方法一般是由教师自己拟定的,只不过是教学中的一个环节。这种实验报告通常印制成表格,由实验者逐项填写。它是重复前人已做过的实验,不具有学术价值。

2.科技实验报告这类实验报告主要指科技工作者撰写的实验报告。它是描述、记录某项科研课题实验的过程和结果的报告,是科技报告中应用范围广泛的一种报告形式。它是科技工作者自己设计、具有新的实验过程和结果的记录。不是简单重复和再现前人的成果。这类实验报告往往具有科技创新意义和学术价值。 三、实验报告的格式写法 实验报告的写作格式主要包括以下几个部分: 1.标题即实验或试验项目的名称。有时在项目之前加“关于”两字。如“关于xxx的实验报告”。实验报告标题要力求明确、醒目,集中反映实验的内容。 2.作者及单位凡是直接参加实验研究的全部工作或主要工作,能对报告负责的人,都要署名并写明所在单位,这样既表明了成果的归属,同时也是文责自负,也便于读者联系。署名要用真名,不能用笔名,单位要写明全称和地址。 3.摘要摘要是对报告内容不加注释和评论的简短陈述,内容具有独立性、自含性,即不阅读报告的全文,就能获得必要的信息。也供文摘等二次文献采用。写摘要要注意:一般应说明实验的目的、方法、结果和最终结论等;一般不用图、表、化学结构式等;字数一般不超过200字;位于正文之前。 4正文 (1)引言。引言部分应是一系列间题的说明,如:研究的对象、实验的意义和作用;此前该项工作的发展概况以及存在的问题;本实

实验报告内容说明

实验报告内容说明 (一) 实验项目名称 要用最简练的语言反映实验的内容,需说明是验证性实验还是综合性、设计性实验或创新性实验。 (二) 实验目的和要求 目的和要求要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。 (三) 实验内容和原理 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程。 (四) 仪器名称及主要规格 (五) 实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装臵的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 (六) 实验结果及分析 实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1. 文字叙述: 根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。 2. 图表: 用表格或坐标图的方式使实验结果突出、清晰,便于相互比较,尤其适合于分组较多,且各组观察指标一致的实验,使组间异同一目了然。每一图表应有表目和计量单位,应说明一定的中心问题。 3. 曲线图应用记录仪器描记出的曲线图,这些指标的变化趋势形象生动、直观明了。 在实验报告中,可任选其中一种或几种方法并用,以获得最佳效果。 (七) 讨论

根据相关的理论知识对所得到的实验结果进行解释和分析。如果所得到的实验结果和预期的结果一致,那么它可以验证什么理论?实验结果有什么意义?说明了什么问题?这些是实验报告应该讨论的。但是,不能用已知的理论或生活经验硬套在实验结果上;更不能由于所得到的实验结果与预期的结果或理论不符而随意取舍甚至修改实验结果,这时应该分析其异常的可能原因。如果本次实验失败了,应找出失败的原因及以后实验应注意的事项。不要简单地复述课本上的理论而缺乏自己主动思考的内容。 另外,也可以写一些本次实验的心得以及提出一些问题或建议等。 (八) 结论 结论不是具体实验结果的再次罗列,也不是对今后研究的展望,而是针对这一实验所能验证的概念、原则或理论的简明总结,是从实验结果中归纳出的一般性、概括性的判断,要简练、准确、严谨、客观。 (九)教师评语和成绩 教师应根据学生在实验中和在实验报告书写中反映出来的认真程度、实验效果、理解深度、独立工作能力、科学态度等给予出恰当的评语,并指出实验报告中的不妥之处,然后依照评分细则,采用100分制评出成绩并签名和评定日期。

电路基础实验内容补充说明和要点提示

“电路基础实验”内容补充说明和要点提示 《实验一手工焊接训练》 一.实验过程 1.从PCB正面(一般是有字的一面)插器件,用烙铁从PCB板反面焊接焊点。如图所示。 2.焊接器件后,多余的管腿要剪掉,以免发生短路。 3.实验室数字多用表的欧姆档的读数说明:选择某一档位,比如10kΩ,表示该档位的量程为10kΩ,即可以测量<10kΩ的电阻值,显示的测量读数的单位是k Ω 4.电路图中Vcc 和接地符号的说明:Vcc代表供电电压,这里就是电源的正极; 在直流电路中,接地就是接直流电源的负极。 5.电路图最右边的b点是一个测试点,另外,图中三极管的基极也用b表示,这两个点在电路中是不同的点,请注意区分。 ebc 5.三极管管脚辨认方法如图示: 6.二极管正负极辨认,需要使用数字万用表的欧姆档,注意本实验室的数字万用表的欧姆档,红表笔是高电位,是正极,黑表笔是低电位,是负极。 二.实验报告 本次实验不要求写实验报告。 《实验二焊接技术训练;表面贴装流水线工艺》 一.实验过程 1.焊接粗导线最好先上锡。 2.焊接粗导线不要用烙铁尖端焊,要用烙铁尖端后面的部位焊接,才能给足热 量,如图示: 烙铁 导线 3.表面贴装流水线操作时要注意,不要随便用手去摸PCB板,否则会蹭掉焊锡 膏。

4. 贴片元件安装位置要注意,一般的元件(比如电阻、电容)有两个引出端, 但不区分正负极,左右两端要分别贴在焊盘上,如图所示。注意有文字的一面向上。 二.实验报告 1. 本次实验报告内容要求重点在于总结手工焊接的方法,叙述实验中的手工焊 接的过程,遇到了那些问题,如何解决的问题。 2. 本次实验报告是课程的第一个实验报告,报告形式要认真,报告整体结构完 整,书写要认真,篇幅得当,不要抄教材。 《实验三、简单电路测量和仪器使用》 一.实验过程 1. 教材中的“三、实验内容与步骤”一节实验要求较多,部分内容略去不做, 2.(3) 2.(4) 3.(1)② 3.(2) 4.(2)② 4.(3)②b. 4.(3)③或④ 4.(3)⑤ 电阻473表示阻值47×103Ω,电容104表示电容值10×104pf ,注意其单位是pf ,第二是直标法,例如2n2表示2.2nf ,其中的n 表示nf 的缩写,n 的位置表示小数点的位置。 3. 内容修改:94页,2.(2)只测10V ;2.(5)“50V 交流电 压档”改为“20V 交流电压档”。 4. 接地的说明:接地,就是连接到电路中的电位的参考点; 数字信号发生器需要接地;特别注意示波器也需要接地, 即每个探头的上的夹子要接地,如图示。 5. 注意示波器探头上的衰减倍数的设置必须要与示波器相 应chanel 的衰减倍数设置一致。 6. 校准信号的频率是仪器本身特有的,无需调节。 7. 理解波形的各种参数的含义。 8. 信号发生器输出波形的大小以示波器实际测量结果为准。 9. pp95关于信号发生器输出阻抗50欧姆的内容不适用于RIGOL 的设备。 二.实验报告 1. 本次实验开始有数据记录,在本次和以后的实验报告正文要写数据分析。不 要用原始记录代替正式报告,也不要在正式报告中写“参见原始记录”。原始记录也要附在正式报告后面上交。 2. 画波形图要注意图中的各个要素是否齐全,不能只画简图或者示意图。 3. 实验中的原始数据不得用铅笔记录,且经教师签字后,必须与实验报告一并 上交。 4. 思考题3改为:解释什么是上升沿触发和下降沿触发。 《实验四、RC 串并联网络的相频和幅频特性测试》 一.实验过程 1. P.96,阻抗2即Z2公式有误,需纠正:2 2122C R j R Z ω+= 2. 要注意检查焊接是否牢固,电路是否正确。 3. 注意理解示波器的触发的概念。 4. 相移的概念:就是相位差,这里是V out 对Vin 正弦波信号的相位差。需要提 前预习正弦波相位差的概念。

相关文档
最新文档