数字电压表

数字电压表
数字电压表

模数电课程设计报告

课题名称:数字电压表设计

姓名:彭上

学院:信息与通信工程学院

班级:自动化13-2BF

学号:14132100679

序号: 03 指导老师:程望斌

完成时间:2014年12月16 日

目录

一.设计任务书(设计课题、功能要求) (1)

二.实验仪器 (1)

三.设计框图及整机概述 (2)

四.各单元电路的设计方案及原理说明 (2)

五.调试过程、参数测试及结果分析 (8)

六. 数字电压表电路板设计与制作注意事项 (10)

七.设计、安装及调试中的体会 (10)

八.对本次课程设计的意见及建议 (13)

九.参考文献 (13)

十.附录 (13)

数字电压表设计

一. 设计任务(设计课题与功能要求)

该课程设计的主要任务是要求我们自己利用ICL7107及电阻电容去设计一个三位半的数字电压表,能够实现200mv、2v、20v、200v、1000v五个不同档位的电压测量。整个过程分以下环节:画原理图,PCB布局,电路板的打印及制作,打孔,焊锡元器件,调试,误差分析等。整个过程要求我们先学会Altium Designer6.9等软件将原理图画出来及PCB的布局连线;其次制作PCB板时要求我们掌握如何去打印PCB图,让我们知道了电路板上的铜线是怎么布上去的;然后在锡焊元器件的时候从很大一部分考验了我们锡焊的功底,同时又锻炼了我们的锡焊能力,提高了我们对锡焊能力培养的重视;最后在调试和误差分析的时候,让我知道在做电子类的产品的时候,并不是所有的理论在实践中都管用,让我们知道了理论和实践之间还是有很大的距离,提醒我们实践出真知,只有通过实践我们才可以更好的掌握和理解书本上理论。

二.实验仪器

直流稳压电源(一台)、数字万用表(一个)

三.设计框图及整机概述

数字电压表设计框图如图所示:

图1 数字电压表设计框图

在图1中,先将待测的电压输入,利用一系列的电阻将待测的电压衰减,然后输入ICL7107芯片的30和31号管脚,通过ICL7107转换及一些外围电路对数码管编码再分别接到对应的数码管上进行显示。对于小数点,因为本次设计采用的是共阳极的数码管,所以小数点采用短路帽直接连接到ICL7107的37号TEST 端口。对于200mv---1000v5个不同的档位则也采用短路帽和排针的组合来手动转换,短接不同的端口表示选择不同的档位。

四.各单元电路的设计方案及原理说明

1.电源滤波电路

滤波电路如图所示:

图2 滤波电路

在图2中电路图的作用为滤波,通过两个电容将电源的交流成分滤掉,其目的为稳定电源输入量,减小因电源中的交流成分带来的不稳定及对电路的损害。

2.测试电源接入端电路

测试电源接入端电路如图所示

图3 测试电源接入端电路

在图3中,P1为测试电源的接入端口,2为正极,1为负极;R5~R9组成电阻衰减网络P2和短路帽实现量程的手动转换,当短路帽接到P2的1和2端口时,送入ICL7107的电压即为待测电压,所以为200mv的量程档;当接P2的3和4端口时,送入ICL7107的电压即为除R5之外其余电阻上的电压,计算易知待测电压衰减了10倍,所以为2v量程档;当接P2的5和6端口时同理可知为20v档;当接P2的7和8端口时为200v档;当接P2的9和10端口时为1000v档。

3.测试端口输入保护电路

测试端口输入保护电路如图所示

图4 测试端口输入保护电路

在图4中通过量程选档后接入一个过热保护的自恢复保险丝,防止因短路温度过高而烧坏元器件;R3为1M的限流电阻,防止电流过大,损坏电路;D1,D2为稳压二极管稳定输入到ICL7107的电压。

4.ICL7107外接显示电路及其输出电路

ICL7107外接显示电路及其输出电路如图所示

图5 ICL7107外接显示电路及其输出电路

由ICL7107芯片资料的截图易知,要驱动该芯片工作必须用±5V 电源使能并接相应的外接电路。

芯片引脚介绍:

(1)芯片的第一脚,是正放芯片,面对型号字符,然后,在芯片的左下方为第一脚。也可以把芯片的缺口朝左放置,左下角也就是第一脚了。许多厂家会在第一脚旁边打上一个小圆点作为标记。知道了第一脚之后,按照反时针方向去走,依次是第 2 至第 40 引脚。(1 脚与 40 脚遥遥相对)。

(2)牢记关键点的电压:芯片第一脚是供电,正确电压是 DC5V 。第 36 脚是基准电压,正确数值是 100mV,第 26 引脚是负电源引脚,正确电压数值是负的,在-3V 至-5V 都认为正常,但是不能是正电压,也不能是零电压。芯片第 31 引脚是信号输入引脚,可以输入±199.9mV 的电压。在一开始,可以把它接地,造成“0”信号输入,以方便测试。

(3)注意芯片27,28,29 引脚的元件数值,它们是

0.22uF,56K,0.47uF 阻容网络,这三个元件属于芯片工作的积分网络。

(4)注意接地引脚:芯片的电源地是 21 脚,模拟地是 32 脚,信号地是 30 脚,基准地是 35 脚,通常使用情况下,这4个引脚都接地,在一些有特殊要求的应用中(例如测量电阻或者比例测量),30 脚或 35 脚就可能不接地而是按照需要接到其他电压上。这里不讨论特殊要求应用。

(5)数码管的显示电路

图6 数码管原理图

①数码管显示原理

设计中采用的是8段共阳极LED数码管来显示电压值。LED具有耗电低、亮度高、视角大、线路简单、耐震及寿命长等优点,它由8个发光二极管组成,其中7个按‘8’字型排列,另一个发光二极管为圆点形状,位于右下角,常用于显示小数点。把8个发光二极管连在一起,公共端接高电平,叫共阳极接法,相反,公共端接低电平的叫共阴极接法,我们采用共阳极接法。当发光二极管导通时,相应的一段笔画或点就发亮,从而形成不同的发光字符。其8段分别命名为

dp g f e d c b a。例如,要显示“0”,则dp g f e d c b a分别为:00111111B;若要显示多个数字,只要让若干个数码管的位选码为高电平就可以了。

②数码管的显示电路

图7 数码管的显示电路

如图7所示,从左至右第一个数码管显示最高位,即测得的电压正负和最高位,第二个,第三个,第四个都可以显示1-9,得到的数字显示即为测得的电压。

(6)小数点驱动电路

图8 小数点驱动电路

在图8中和量程档的选择一样,小数点的驱动也要用到排针和短路帽,当P3的6和5短接时从左到右的第一个数码管小数点亮;当P3的4和3短接时从左到右的第二个数码管小数点亮;当P3的1和2短接时从左到右的第三个数码管小数点亮。

五.调试过程、参数测试及结果分析

1.调试过程:

(1)零电压测量:将正输入端Vi+与负输入端Vi-短接,仪表读数显示“000”

(2)基准电压测量:将Vi+与V REF+短接,读数应在100.0mv左右。

(3)显示器笔段全亮的测试:将TEST端(第37引脚)与VDD 短接,读数应为“-1888”。

(4)负号与溢出功能检查:将Vi+与VEE短接,应显示“-”。当Vi超过仪表量程后即溢出,千位应显示“1”,而百位,十位,个位均不显示。

(5)将已知的待测电压从数字电压表的两个输入端输入,调节滑动变阻器使电压表的视数和已知的输入电压相近。

2.结果及其分析:

图9 电压表测试数据结果

3.误差分析

由上图可知我自己做的电压表测的电压不是很准确,通过计算和测量发现有一下原因:

(1)在量程选档的5个电阻值R5~R9并不是完全为9.1M,910K,91K,9.1K,1K,其用到的的电阻测量值8.81M,906K,90.7K,8.91K,0.975K;通过对比发现电阻值整体取的较高,所以所测量的电压相对与标准电压值要小。

(2)标准电压的校准时用万用表测的ICL7107的35和36号管脚的电压不为标准的100mv,同时Vi+与Vi-短接时显示数值并不完全为零。

(3)电位器变化范围不够导致数据误差。

(4)数据测试时导线接触不良或接触不稳定导致数据产生误差。

(5)分析发现ICL7107在将模拟电压转换为数字信号时,通过双积分的方法,将连续的模拟量变成离散的数字量时有误差,转换过程中存在精度分辨率等问题。

六.数字电压表电路板设计与制作注意事项

数字电压表电路板设计与制作注意事项有:

(1)ICL7107为正负5V电源供电, 21引脚(BP/GND端)接地,37引脚(test端)可悬空

(2)图中C4和C5用0.22uf和0.47uf的CBB电容

(3)高三位小数点h4,h3,h2用开关选择通过限流电阻(500欧姆)与GND连接或直接用ICL7107的TEST端(37脚)

(4)保险丝用熔断器XF010,封装可用普通无极性电容(rad0.1)封装

(5)输入衰减电阻实际值与原理图中理论值有差异,请先测量后再正确安装,以便计算数字电压表测量误差

(6)电路安装完毕后调节电位器RP,使ICL7107处于200mv基本量程档测量时,V REF值为100mV

(7)4个数码管不剪短引脚,尽量一起安装在DIP40的引脚座上,布线时可适当使用跳线

(8)原理图中连动多路开关选择用排针和2.54mm短路帽组合来实现,Protel中可用header 5*2和header 3*2来实现选择连接七.设计安装及调试体会

正值期中考试期间当听到要做课程设计时也没太在意,也没多想就选择了数字电压表的设计,到了正式要开始时竟有点措手不及,不过庆幸在此之前我对AD这个软件多多少少有点了解,但为了在使用软件时能更加的得心应手我又在网上找了一些相关的视频边看边自己实践就这样一步一步开始了第一次的课程设计。

在看了几集视频进一步了解这个软件后,就开始搜索芯片及电容的一些资料并根据书上的原理图和一些注意事项开始画图了,这个过程不是很难,只是原件的封装难得找到匹配的,不过还好有学长学姐的帮忙,很快原理图就画好了,接下来就是比较复杂的pcb布局布线了,第一眼看到那么多根交错的飞线要使得他们都不交叉确实有点眼

花,由于没有经验,刚开始原件的布局很丑导致不好布线,在参考学长的布局布线后在电阻的布局布线方面学到了点小技巧,更改了自己的布局,不仅好看多了,布线也更加方便,本以为画图就要结束时才发现线宽和线间距又不合要求,就这样反反复复做了好几遍,终于得到了一个比较满意的作品了。然后经过制版,打孔,焊接终于是把板子做了出来,其实这个过程也不是一帆风顺,也出现许多的问题比如打印不给力,腐蚀液效果不好等等,好不容易来到了调试的步骤,才发现调试才是最困难的,问题更是接踵而来比如烧坏数码管,虚焊等等。通过这些过程我明白了不论做什么事都要有耐心,只要肯花时间不急躁总会有收获。

做了这次的课程设计,从设计电路,到焊接,到最后做出来一个完全属于自己的电压表。这过程虽有点艰辛,可是只要认真仔细的探讨和研究完成好每一个步骤和过程,自己终会收获成功的,通过这次将模拟电路和数字电路的理论应用于实际的电子产品中,让我对于书本中理论只是有一个更深的理解,同时也让我知道理论和实践之间是有差别的,完全照搬书本是行不通的,只有加强自己的动手能力,学好理论的同时多多实践,才能够做到理论用于实践,理论指导实践,实践促进理论学习,才能学好专业,成为一个有用的科学技术人才。

八.对本次课程设计的意见及建议

做完本次课程设计,我觉得做课程设计的出发点是很好的但是只有一节理论课对我们这些没接触过这些东西的人来说是远远不够的因此我望学校能够改善我们设备和师资力量,提供更多的资源和条件,能够更多的给予我们这样的自己探索,动手实践的机会,多接触这些基本实用的知识流程,让我们能够更好的适应社会上的工作需求,同时希望老师也能给予更多同学以积极的状态适应这平台。九.参考文献

[1] 康华光.电子技术基础(模拟部分)[M].高等教育出版社,2006

[2] 朱定华.protel99SE电子电路设计[M].清华大学出版社,2007年.

[3]、罗杰、谢自美.电子线路[M].电子工业出版社,2002年”

十.附录

附录1:数字电压表原理图

附录2: PCB板图

附录3:实物图

(a)正面图

(b)反面图

附录4 元器件清单

组成数字电压表的原理与应用

MC14433组成数字电压表的原理与应用 器件介绍: MC14433是美国Motorola公司推出的单片3 1/2位A/D转换器,其中集成了双积分式A/D转换器所有的CMOS模拟电路和数字电路。具有外接元件少,输入阻抗高,功耗低,电源电压范围宽,精度高等特点,并且具有自动校零和自动极性转换功能,只要外接少量的阻容件即可构成一个完整的A/D转换器,其主要功能特性如下: 精度:读数的±0.05%±1字 模拟电压输入量程:1.999V和199.9mV两档 转换速率:2-25次/s 输入阻抗:大于1000MΩ 电源电压:±4.8V—±8V 功耗:8mW(±5V电源电压时,典型值) 采用字位动态扫描BCD码输出方式,即千、百、十、个位BCD码分时在Q0—Q3轮流输出,同时在DS1—DS4端输出同步字位选通脉冲,很方便实现LED的动态显示。

应用: MC14433最主要的用途是数字电压表,数字温度计等各类数字化仪表及计算机数据采集系统的A/D转换接口。 MC14433的引脚说明: [1]. Pin1(VAG)—模拟地,为高科 技阻输入端,被测电压和基准电压的接 入地。 [2]. Pin2(V R)—基准电压,此引脚 为外接基准电压的输入端。MC14433只要 一个正基准电压即可测量正、负极性的 电压。此外,V R端只要加上一个大于5 个时钟周期的负脉冲(V R),就能够复为至 转换周期的起始点。 [3]. Pin3(Vx)—被测电压的输入端,MC14433属于双 积分型A/D转换器,因而被测电压与基准电压有以下关系: 因此,满量程的Vx=V R 。当满量程选为1.999V,V R 可 取2.000V,而当满量程为199.9mV时,V R 取200.0mV,在实 际的应用电路中,根据需要,V R 值可在200mV—2.000V之间 选取。 [4]. Pin4-Pin6(R1/C1,C1)—外接积分元件端。 次三个引脚外接积分电阻和电容,积分电容一般选0.1uF聚脂薄膜电容,如果需每秒转换4次,时钟频率选为66kHz,在2.000V满量程时,电阻R1约为470kΩ,而满量程为200mV时,R1取27kΩ。 [5]. Pin7、Pin8(C 01 、C 02 )—外接失调补偿电容端,电容一般也选0.1uF聚脂薄膜电容即可。 [6]. Pin9(DU)—更新显示控制端,此引脚用来控制转换结果的输出。如果在积分器反向积分周期之前,DU端输入一个正跳变脉冲,该转换周期所得到的结果将被送入输出锁存器,经多路开关选择后输出。否则继续输出上一个转换周期所测量的数据。这个作用可用于保存测量数据,若不需要保存数据而是直接输出测量数据,将DU端与EOC引脚直接短接即可。 [7]. Pin10、Pin11(CLK1、CLK0)—时钟外接元件端,MC14433内置了时钟振荡电路,对时钟频率要求不高的场合,可选择一个电阻即可设定时钟频率,时钟频率为66kHz时,外接电阻取300kΩ即可。 若需要较高的时钟频率稳定度,则需采用外接石英晶体或LC电路,参考附图。

单片机课程设计数字电压表

单片机课程设计 ——电压表的设计 学院:信息工程学院 专业:电子信息科学与技术 班级:2011150 学号:201115002 姓名:王冬冬 同组同学:凡俊兴 201115001

目录 1 引言 (1) 2设计原理及要求 (2) 2.1数字电压表的实现原理 (2) 2.2数字电压表的设计要求 (2) 3软件仿真电路设计 (2) 3.1设计思路 (2) 3.2仿真电路图 (3) 3.3设计过程 (3) 3.4 AT89C51的功能介绍 (4) 3.4.1简单概述 (4) 3.4.2主要功能特性 (5) 3.4.3 AT89C51的引脚介绍 (5) 3.5 ADC0809的引脚及功能介绍 (7) 3.5.1芯片概述 (7) 3.5.2 引脚简介 (8) 3.5.3 ADC0809的转换原理 (8) 3.6 74LS373芯片的引脚及功能 (8) 3.6.1芯片概述 (8) 3.6.2引脚介绍 (9) 3.7 LED数码管的控制显示 (9) 3.7.1 LED数码管的模型 (9)

LED数码管模型如图3-6所示。 (9) 3.7.2 LED数码管的接口简介 (9) 4系统软件程序的设计 (9) 4.1 主程序 (10) 4.2 A/D转换子程序 (11) 4.3 中断显示程序 (12) 5使用说明与调试结果 (13) 6总结 (13) 参考文献 (14) 附录1 源程序 (15) 附录2原理电路 (19)

1 引言 在电量的测量中,电压、电流和频率是最基本的三个被测量,其中电压量的测量最为经常。而且随着电子技术的发展,更是经常需要测量高精度的电压,所以数字电压表就成为一种必不可少的测量仪器。数字电压表简称DVM,它是采用数字化测量技术,把连续的模拟量转换成不连续、离散的数字形式并加以显示的仪表。由于数字式仪器具有读数准确方便、精度高、误差小、测量速度快等特而得到广泛应用[1]。 传统的指针式刻度电压表功能单一,进度低,容易引起视差和视觉疲劳,因而不能满足数字化时代的需要。采用单片机的数字电压表,将连续的模拟量如直流电压转换成不连续的离散的数字形式并加以显示,从而精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC实时通信。数字电压表是诸多数字化仪表的核心与基础[2]。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表。目前,由各种单片机和A/D转换器构成的数字电压表作全面深入的了解是很有必要的。 最近的几十年来,随着半导体技术、集成电路(IC)和微处理器技术的发展,数字电路和数字化测量技术也有了巨大的进步,从而促使了数字电压表的快速发展,并不断出现新的类型[4]。数字电压表从1952年问世以来,经历了不断改进的过程,从最早采用继电器、电子管和形式发展到了现在的全固态化、集成化(IC 化),另一方面,精度也从0.01%-0.005%。 目前,数字电压表的内部核心部件是A/D转换器,转换的精度很大程度上影响着数字电压表的准确度,因而,以后数字电压表的发展就着眼在高精度和低成本这两个方面[3]。 本文是以简易数字直流电压表的设计为研究内容,本系统主要包括三大模块:转换模块、数据处理模块及显示模块。其中,A/D转换采用ADC0808对输入的模拟信号进行转换,控制核心AT89C51再对转换的结果进行运算处理,最后驱动输出装置LED显示数字电压信号

数字电压表中文简介

数字电压表 译文 引言 这是一个很容易建立并且非常准确和有用的数字电压表。它被设计成一个面板仪表,可用于直流电源供应器或其他需要有一个准确电压指示的地方。该电路采用的ADC(模拟数字转换器)集成电路CL7107由Intersil公司生产。该IC采用40引脚的情况下整合了所有必要的电路模拟信号转换为数字,可以直接驱动4个7段LED显示。在IC中内置的电路是数字转换器,比较器,一个时钟,一个解码器和一个7段LED显示驱动器模拟。在这里它描述了一个可以显示在0-1999电压范围的直流电压电路。 LED显示屏数字电压表技术规格 - 特征 电源电压:.............+ / - 5V(对称)。 电源要求:.............200mA(最大)。 测量范围:.............+ / - 0-1,999V在四个范围。 精度:.................0.1%。 特征: 小尺寸。 简易建筑。 成本低。 简单的调整。 易于读取距离。 很少的外部元件。 数字电压表的基本原则 为了了解电路的运作的原则,说明ADC的集成电路工程是必要的。该集成电路具有以下非常重要的特点: 准确性。 抗干扰性。 无需要一个采样保持电路。 它有一个内置的时钟。 它不需要精度高的外部元件。 一个模拟数字转换器(ADC),从现在起更好的称为双斜率转换器或集成转换器。这种类型的转换器通常优于其他类型,因为它提供了准确,简洁的设计和它可以将相对不重要的噪音变得非常可靠。如果将电路分两个阶段描述,该电路的操作将更好的理解。在第一阶段的输入集成电压和最后阶段的输出集成电压中有一个电压与输入电压成正比。在预设的时间结

数字电压表汇编语言

$NOMOD51 ;------------------------------------------------------------------------------ ; This file is part of the C51 Compiler package ; Copyright (c) 1988-2002 Keil Elektronik GmbH and Keil Software, Inc. ;------------------------------------------------------------------------------ ; STARTUP.A51: This code is executed after processor reset. ; ; To translate this file use A51 with the following invocation: ; ; A51 STARTUP.A51 ; ; To link the modified STARTUP.OBJ file to your application use the following ; BL51 invocation: ; ; BL51 , STARTUP.OBJ ; ;------------------------------------------------------------------------------ ; ; User-defined Power-On Initialization of Memory ; ; With the following EQU statements the initialization of memory ; at processor reset can be defined: ; ; ; the absolute start-address of IDATA memory is always 0 IDATALEN EQU 80H ; the length of IDATA memory in bytes. ; XDATASTART EQU 0H ; the absolute start-address of XDATA memory XDATALEN EQU 0H ; the length of XDATA memory in bytes. ; PDATASTART EQU 0H ; the absolute start-address of PDATA memory

单片机课程设计 数字电压表设计

《单片机原理及应用》课程设计报告书 课题名称数字电压表设计 名姓 学号 专业

指导教师 机电与控制工程学院月年日 1 任务书 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、LED显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、键盘显示部分。利用4×6矩阵键盘的一个按键控制量程的转换,3或4位LED显示。其中一位为整数部分,其余位小数部分。 关键词:8051 模数转换LED显示矩阵键盘 2 目录

1 绪论 (1) 2 方案设计与论证 (2) 3 单元电路设计与参数计算 (3) 4 总原理图及参考程序 (8) 5 结论 (14) 6 心得体会 (15) 参考文献16 (7) 3 1.绪论 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优

点。 电压表的数字化测量,关键在于如何把随时连续变化的模拟量转化成数字量,完成这种转换的电路叫模数转换器(A/D)。数字电压表的核心部件就是A/D转换器,由于各种不同的A/D转换原理构成了各种不同类型的DVM。一般说来,A/D 转换的方式可分为两类:积分式和逐次逼近式。 积分式A/D转换器是先用积分器将输入的模拟电压转换成时间或频率,再将其数字化。根据转化的中间量不同,它又分为U-T(电压-时间)式和U-F(电压-频率)式两种。 逐次逼近式A/D转换器分为比较式和斜坡电压式,根据不同的工作原理,比较式又分为逐次比较式及零平衡式等。斜坡电压式又分为线性斜坡式和阶梯斜坡式两种。 在高精度数字电压表中,常采用由积分式和比较式相结合起来的复合式A/D转换器。本设计以8051单片机为核心,以逐次比较型A/D转换器ADC0809、LED 显示器为主体,构造了一款简易的数字电压表,能够测量1路0~5V直流电压,最小分辨率0.02V。 4 2.方案设计与论证 基于单片机的多路数字电压表电路的基本组成如图3.1所示。

DIY数字显示直流电压表

DIY数字显示直流电压表 最近想做一个电源,因为经常DIY,没有一个电源不像样子,虽然是业余的,但是电压有时也会有不同的电压值,如做成固定的电压应用起来就不方便,如做成可调的,电源值就不能直观的展示出来,每调一次就用万用表量一起也不方便。如果有一个电压表装在电源上就方便多了,指针式的表头读起数来总是有点别扭,所以就想找一个数字式的电压表头。因此在这样的背景下自己通过DIY 制作了一个4位数字显示的电压表头。 做数字式电压表用什么IC好呢?选来选去最后决定用ICL7017吧!定好芯片就开要画个完整的电路图。既然要做就做好点,不想用洞洞板来接线路板,电线飞来飞去的有点头痛的感觉,所以还要画一块PCB板。电路图及PCB板的设计如下图示:

有了图就要准备物料了,不想一个一个的写出来,给个物料清单吧如下 组件编号 组件数值组件规格用量 号 C1 0.1uF 瓷片电容±20% 50V 1 C2 100P 瓷片电容±5% 50V 1 C3 0.1uF 金属膜电容±5% 63V 1 C4 0.1uF 独石电容±5% 63V 1 C6 0.22uF 金属膜电容±5% 63V 1 C5 0.47uF 金属膜电容±5% 63V 1 C7,C8 10uF/25V 电解电容+80-20% 2 R1 150Ω金属膜电阻±1% 1/4W 1 R8 1K 金属膜电阻±1% 1/4W 1 R9 1M 1/2W 金属膜电阻±1% 1/2W 1 R7 1M 金属膜电阻±1% 1/4W 1 R3 2.95K 金属膜电阻±1% 1/4W 1 R2,R5 10K 金属膜电阻±1% 1/4W 2 R4 20K 金属膜电阻±1% 1/4W 1 R6 154K 金属膜电阻±1% 1/4W 1 R10 470K 金属膜电阻±1% 1/4W 1 VR2 5K 精密微调电阻922C0 W 502 1 D2,D3 4148 ST 1N4148 DO-35 2 J1,J2 DC5V 鱼骨针2pin 2 D1 DIODE 1N4004 DO-41 1 DS1~4 HS-5161BS2 共阳8段数码管 4 U1 ICL7107 IC ICL7107CPLZ DIP-40 1 U2 TC4069 IC TC4069UBP DIP-14 1 U3 TL431 IC TL431A TO-92 1 IC插座14 pin 2.54mm 1 IC插座40 pin 2.54mm 1 PCB光板36x68x1.6mm 双面FR-4 1 塑料外壳尺寸要与PCB板配合,网上购的 1 镙丝 4 锡线适量 工具就是电子爱好者的常用工具了

简易数字电压表 程序

/*简易数字电压表制作(C语言版)*/ /*目标器件:AT89S52 */ /*晶振:12.000MHZ */ /*编译环境:Keil */ /***********************************************************************************/ /*********************************包含头文件********************************/ #include #include /*********************************端口定义**********************************/ sbit CS = P3^4; sbit Clk = P1^0; sbit DA TI = P1^1; sbit DA TO = P1^1; /*******************************定义全局变量********************************/ unsigned char dat = 0x00; //AD值 unsigned char count = 0x00; //定时器计数 unsigned char CH; //通道变量 unsigned char dis[] = {0x00, 0x00, 0x00}; //显示数值 /*******************************共阳LED段码表*******************************/ unsigned char code tab[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; /**************************************************************************** 函数功能:AD转换子程序 入口参数:CH 出口参数:dat ****************************************************************************/ unsigned char adc0832(unsigned char CH) { unsigned char i, test, adval; adval = 0x00; test = 0x00; Clk = 0; //初始化 DA TI = 1; _nop_( ); CS = 0; _nop_(); Clk = 1; _nop_(); if ( CH == 0x00 ) //通道选择 { Clk = 0; DA TI = 1; //通道0的第一位 _nop_(); Clk = 1;

多量程直流数字电压表

电子技术课程设计报告 专业班级: 学生学号: 学生姓名: 指导教师: 设计时间: 自动化与电气工程学院

设计课题题目: 多量程直流数字电压表 一、设计任务与要求 1.设计并制作一个直流稳压电源,设计要求为 (1) 输入电压为220V (2) 输出电压为±5V 2.设计一个2 13 直流数字电压表,设计要求为 分辨率 (1) 测量量程:基本量程:200mV 0.1mV 扩展量程:2V 1mV 20mV 0.01mV (2) 测量范围: 0mV~2V (3 ) 显示范围:十进制数0~1999 (4) 使用双积分A/D 转换器ICL7107完成直流电压的数字化转换 二、电路原理分析与方案设计 1. 设计要求分析 数字电压表由电阻网络(量程调整)、直流放大(运放组成)、电压极性判断、A/D 转换、数码(液晶)显示等部分组成。 直流数字电压表主要完成对电位器或外部电压的测量与显示。因此,为了适应不同大小的的待测模拟电压信号,应该有测量量程的选择功能。ICL7107是双积分式三位半A/D 转换器,可构成基本量程200Mv,而扩展量程20V 可由电阻电位器分压,2V 量程可由运放放大。 2. 方案设计 (1)±5V 直流稳压电源 首先通过中心抽头的18V 电源变压器,输出电压经过四个二极管组成的桥式整流电路整流后通过电容滤波,然后通过三端稳压管LM7805和KV7905分别对正负电压进行稳压,在对输出电压进行滤波,从而得到较为稳定的±5V 直流稳压电源。 (2)2 13 直流数字电压表 将输入电压分别通过电阻电位器和μA741运放放大器进行缩小和放大,将输出信号输入到ICL7107 A/D 转换器V-IN 端,经过A/D 转换电路、参考电压电路、复位电路、时钟电路等电路完成数据转换及传输,最后通过2 13 数码管进行显示。 三、单元电路分析与设计 1.单元电路原理分析 电源: (1) 电源变压器

数字电压表的设计实验报告

课程设计 ——基于51数字电压表设计 物理与电子信息学院 电子信息工程 1、课程设计要求 使用单片机AT89C52和ADC0832设计一个数字电压表,能够测量0-5V之间的直流电压值,两位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为 5V;能用两位LED进行轮流显示或单路选择显示,显示精度0.1伏。 2、硬件单元电路设计 AT89S52单片机简介 AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含8k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存

储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS -51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。 AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级,2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。 ADC0832模数转换器简介 ADC0832 是美国国家半导体公司生产的一种8 位分辨率、双通道A/D转换芯片。由于它体积小,兼容性强,性价比高而深受单片机爱好者及企业欢迎,其目前已经有很高的普及率。学习并使用ADC0832 可是使我们了解A/D转换器的原理,有助于我们单片机技术水平的提高。 图1 芯片接口说明: 〃 CS_ 片选使能,低电平芯片使能。 〃 CH0 模拟输入通道0,或作为IN+/-使用。

基于51单片机的ADC0832数字电压表(仿真+程序)

仿真图: #in elude #in elude vintrin s.h> sbit CS = P3A 5; sbit Clk =卩3人3; sbit DATI = P3A4; sbit DATO = P3A4; sbit P20=P2A0 ; un sig ned char dat = 0x00; un sig ned char count = 0x00; /** ***************************** ^定义全局变^量 ****************************** /** 斗 XT C £J_1 XTW2 R^T Pd KI W KUW? PQ pa.&^oo KMW POTror ip? rw P2/W PG£H 芒酒r P2.4m; fcA. 旳腳威 *2 ma 5 P3.IM 沁 Pi 1 pg.vwt F3.Z/IOO Fts PH M.:州和 P2-4TD P1 6 P16 ?"■S.aUtfk P3 & ■ 14 ■和 PV1 ******************************* 包含头文^件 ****************************** /** ******************************* ^端■口定^义 ******************************** //AD 值 //定时器计数 U2 xinjjbn ■TBMK ■ & EK ■曲 ■詡 'RP1 ■ 12 4 '

unsigned char CH; // 通道变量 unsigned char dis[] = {0x00, 0x00, 0x00}; // 显示数值 /*************************共阳 LED 段码表unsigned char code tab[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; char code tablewe[]={ 0xfd,0xfb,0xf7,0xef,0xdf,0xfe }; /*************************************************************************** * 函数功能 :AD 转换子程序 入口参数 :CH 出口参数 :dat ************************************************************************** unsigned char adc0832(unsigned char CH) { unsigned char i,test,adval; adval = 0x00; test = 0x00; Clk = 0; // 初始化 DATI = 1; _nop_(); CS = 0; _nop_(); Clk = 1; _nop_();

基于51单片机的数字电压表设计说明

1.1数字电压表介绍 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示。而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编码等几部分组成。因此AD转换是此次设计的核心元件。输入的模拟量经过AD转换器转换,再由驱动器驱动显示器输出,便得到测量的数字电压。 本次自己的设计作品从各个角度分析了AD转换器组成的数字电压表的设计过程及各部分电路的组成及原理,并且分析了数模转换进而使系统运行起来的原理及方法。通过自己的实践提高了动手能力,也只有亲历亲为才能收获掌握到液晶学过的知识。其实也为建立节约成本的意识有些帮助。本次设计同时也牵涉到了几个问题:精度、位数、速度、还有功耗等不足之处,这些都是要慎重考虑的,这些也是在本次设计中的收获。 1.3 本次设计要求 本次设计的作品要求制作数字电压表的量程为0到10v,由于用到的模数转换芯片是ADC0809,设计系统给的供电电压为+5v,所以能够测量的电压围为-0.25v到5.25v之间,但是一般测量的直流电压围都在这之上,所以采用电阻分压网络,设计的电压测量围是0到25v之间,满足设计要求的最大量程5v的要求。同时设计的精度为小数点后三位,满足要求的两位小数的精度,在不考虑AD芯片的量化误差的前提下,此次设计的精度能够满足一般测量的要求。

2单片机和AD相关知识 2.1 51单片机相关知识 51单片机是对目前所有兼容intel 8031指令系统的单片机的统称。该系列单片机的始祖是intel的8031单片机,后来随着技术的发展,成为目前广泛应用的8为单片机之一。单片机是在一块芯片集成了CPU、RAM、ROM、定时器/计数器和多功能I/O口等计算机所需要的基本功能部件的大规模集成电路,又称为MCU。51系列单片机包含以下几个部件: 一个8位CPU;一个片振荡器及时钟电路; 4KB的ROM程序存储器; 一个128B的RAM数据存储器; 寻址64KB外部数据存储器和64KB外部程序存储空间的控制电路; 32条可编程的I/O口线; 两个16位定时/计数器; 一个可编程全双工串行口; 5个中断源、两个优先级嵌套中断结构。51系列单片机如下图: 图1 51单片机引脚图

数字电压表

数字电压表 摘要 在现代先进的电子系统的前端和后端都将应用到A/D转换器,以改善数字处理技术的性能。在各种A/D转换器中,逐次逼近型A/D转换器是采样率低于5 Msps(每秒百万次采样)的中等至高等分辨率应用的常见结构。由于逐次逼近型A/D转换器具有低功耗、小尺寸的特点,因此有很宽的应用范围。本文设计的8位逐次逼近A/D转换器,采用了以D/A转换器、比较器和带隙基准模块为主体的结构,通过各个模块的优化设计,得到了可在4.5V-5.5V单电源电压下工作的中速、低功耗8位逐次逼近A/D转换器。 D/A转换器模块采用了扩展分辨率的方法,将电阻分压和电容分压相结合,得到了不同缩放方式的DAC组合,扩展D/A转换器分辨率,也提高了转换速度。比较器模块采用了三级比较器通过电容耦合级联的方式来实现,具有高增益的特点,结果所设计的比较器既满足了高速比较的要求,又有效降低了功耗。最后,在A/D转换器中基准电压模块也是一个很重要的组成部分,它直接关系A/D转换器的精度。本文中自主设计的带隙基准电路具有很高的抗电源电压波动和抗温度变化的能力,温度在-50℃-100℃、电源电压在 1.6V-9.7V范围内变化时能使输出保持在 1.246V。应用Cadence spectre采用CSMC 0.6μm CMOS Nwell工艺库对电路性能进行验证。仿真结果表明,设计的高速比较器、带隙基准电路和D/A转换器满足8位A/D 转换的要求。 Abstract In the front and the end of the advanced electronics systems, analog to digital converters (A/D converters) are applied to improve the performance of the digital processing technique. Of all kinds of A/D converters, successive approximation (SAR)A/D converters are frequently the architecture of choice for medium-to-high-resolution applications with sample rates under 5 mega samples per second (Msps). Because of providing low power consumption as well as a small scale factor, SAR A/D converters have a wide variety of applications.A 8-bit medium speed, low power A/D designed in this paper, is composed of digital-analog (D/A) converters, comparators ,bandgap and so on. By optimizing the performances of every module, it can operate well from from a signal 4.5V to 5.5V power supply.In D/A coverter module, in order to extend the resolution of D/A converter, the combination of differently scaled DACs is designed. A charge scaling D/A converter with capacitor voltage divider and resistance divider is designed, which extends the resolution of a parallel D/A converter as well as improve speed rate greatly. The comparator has the

51单片机数字电压表设计

基于51单片机的数字电压表设计 二级学院铜陵学院 专业自动化 班级 组号 组员 指导教师

简易的数字电压表的设计 目录 一课程设计任务书·····························································································································错误!未定义书签。 1.1 设计题目、目的····················································································································错误!未定义书签。 1.2 题目的基本要求和拓展功能··························································································错误!未定义书签。 1.3 设计时间及进度安排··········································································································错误!未定义书签。 二设计内容············································································································································错误!未定义书签。 2.1 元器件选型······························································································································错误!未定义书签。 2.2 系统方案确定·························································································································错误!未定义书签。 2.3 51单片机相关知识··············································································································错误!未定义书签。 2.4 AD转换器相关知识··············································································································错误!未定义书签。 三数字电压表系统设计 (7) 3.1系统设计框图 (8) 3.2 单片机电路 (9) 3.3 ADC采样电路 (10) 3.4显示电路 (11) 3.5供电电路和参考电压·························································································································································· 3.6 数字电压表系统电路原理图·········································································································································四软件部分 4.1 主程序 4.2 显示子程序 五数字电压表电路仿真 5.1 仿真总图 5.2 仿真结果显示 六系统性能分析 七心得体会 - 2 -

基于51单片机的数字电压表设计

目录 摘要........................................................................ I 1 绪论. (1) 1.1数字电压表介绍 (1) 1.2仿真软件介绍 (1) 1.3 本次设计要求 (2) 2 单片机和AD相关知识 (3) 2.1 51单片机相关知识 (3) 2.2 AD转换器相关知识 (4) 3 数字电压表系统设计 (5) 3.1系统设计框图 (5) 3.2 单片机电路 (5) 3.3 ADC采样电路 (6) 3.4显示电路 (6) 3.5供电电路和参考电压 (7) 3.6 数字电压表系统电路原理图 (7) 4 软件设计 (8) 4.1 系统总流程图 (8) 4.2 程序代码 (8) 5 数字电压表电路仿真 (15) 5.1 仿真总图 (15) 5.2 仿真结果显示 (15) 6 系统优缺点分析 (16) 7 心得体会 (17) 参考文献 (18)

1 绪论 1.1数字电压表介绍 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示。而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编码等几部分组成。因此AD转换是此次设计的核心元件。输入的模拟量经过AD转换器转换,再由驱动器驱动显示器输出,便得到测量的数字电压。 本次自己的设计作品从各个角度分析了AD转换器组成的数字电压表的设计过程及各部分电路的组成及原理,并且分析了数模转换进而使系统运行起来的原理及方法。通过自己的实践提高了动手能力,也只有亲历亲为才能收获掌握到液晶学过的知识。其实也为建立节约成本的意识有些帮助。本次设计同时也牵涉到了几个问题:精度、位数、速度、还有功耗等不足之处,这些都是要慎重考虑的,这些也是在本次设计中的收获。 1.2仿真软件介绍 Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是: (1)现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。 (2)支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、 A VR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。 (3)提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。 (4)具有强大的原理图绘制功能。 可以仿真51系列、A VR、PIC、ARM、等常用主流单片机。还可以直接在基于原理图的虚拟原型上编程,再配合显示及输出,能看到运行后输入输出的效果。配合系统配置的

直流数字电压表毕业设计

毕业设计 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计指导教师:杨喜录 电子信息工程系印制 二○一二年九月

宝鸡职业技术学院毕业设计任务书 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计 指导教师:杨喜录 电子信息工程系印制 二○一二年九月

引言 数字电压表是采用数字化电路测量的电压仪表。它以其高准确度、高可靠性、高分辨率、高性价比、读数清晰方便、测量速度快、输入阻抗高等优良特性而倍受人们的青睐。数字电压表是诸多数字化仪表的核心与基础。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域。因此对数字电压表作全面深入的了解是很有必要的。传统的模拟式(即指针式)电压表已有100多年的发展史,虽然不断改进与完善,仍无法满足现代电子测量的需要,数字电压表自1952年问世以来,显示强大的生命力,现已成为在电子测量领域中应用最广泛的一种仪表。

数字电压表简称DVM (Digital Voltmeter ),它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等领域,显示出强大的生命力。与此同时,由DVM 扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。智能化数字电压表则是最大规模集成电路(LSI )、数显技术、计算机技术、自动测试技术(ATE )的结晶。一台典型的直流数字电压表主要由输入电路、A/D 转换器、控制逻辑电路、计数器(或寄存器)、显示器,以及电源电路等级部分组成。它的数字输出可由打印机记录,也可以送入计算机进行数据处理。 系统概述 数字电压表是将被测模拟量转换为数字量,并进行实时数字显示的数字系统。 该系统(如图1所示)可由MC14433--32 1位A/D 转换器、MC1413七路达林顿驱动器阵列、CD4511 BCD 到七段锁存-译码-驱动器、能隙基准电源MC1403和共阴极LED 发光数码管组成。

基于STCC的数字电压表

基于S T C C的数字电压 表 文档编制序号:[KK8UY-LL9IO69-TTO6M3-MTOL89-FTT688]

1引言在电量的测量中,电压、电流和频率是最基本的三个被测量,其中电压量的测量最为经常。而且随着电子技术的发展,更是经常需要测量高精度的电压,所以数字电压表就成为一种必不可少的测量仪器。本文设计了一种基于单片机的简易数字电压表。该设计主要由三个模块组成:A/D转换模块,数据处理模块及显示模块。A/D转换主要由芯片ADC0804来完成,它负责把采集到的模拟量转换为相应的数字量在传送到数据处理模块。数据处理则由芯片STC89C52来完成,其负责把ADC0804传送来的数字量经过一定的数据处理,产生相应的显示码送到显示模块进行显示;此外,它还控制着ADC0804芯片工作。该系统的数字电压表电路简单,所用的元件较少,成本低,且测量精度和可靠性较高。此数字电压表可以测量0-5V的1路模拟直流输入电压值,并通过7段数码管显示出来。 2 设计总体方案 设计要求 ⑴以MCS-51系列单片机为核心器件,组成一个简单的直流数字电压表。 ⑵采用1路模拟量输入,能够测量0-5V之间的直流电压值。 ⑶电压显示用LED数码管显示,至少能够显示两位小数。 ⑷尽量使用较少的元器件。 设计思路 ⑴根据设计要求,选择STC89C52单片机为核心控制器件。 ⑵A/D转换采用ADC0804实现,与单片机的P1口相连接。 ⑶电压显示采用三个7段LED数码管显示,另外三位数码管显示A/D转换的数 字量的值。

⑷LED数码的段选码和位选码均由单片机P0口经过两片74HC573锁存器输入。 设计方案 硬件电路设计由6个部分组成; A/D转换电路,STC89C52单片机系统,LED显示系统、时钟电路、复位电路以及测量电压输入电路。硬件电路设计框图如图1所示。 图2-1 数字电压表系统硬件设计框图 3 硬件电路设计 单片机系统 本次课设选择的单片机是STC89C52,之所以选择这块芯片,是因为该芯片的各项功能均符合本次课设的指标要求,并且该芯片有很多成熟的资料供我们学习,使用用起来很方便,也有专门的下载程序平台,方便现场调试。 复位电路和时钟电路 单片机在启动运行时都需要复位,使CPU和系统中的其他部件都处于一个确定的初始状态,并从这个状态开始工作。MCS-51单片机有一个复位引脚RST,采用施密特触发输入。当震荡器起振后,只要该引脚上出现2个机器周期以上的高电平即可确保时器件复位。复位完成后,如果RST端继续保持高电平,MCS-51就

相关文档
最新文档