CMOS二输入与非门设计

CMOS二输入与非门设计
CMOS二输入与非门设计

《MOS管集成电路设计》期中论文CMOS二输入与非门设计

日期:2015年5月21日

一电路设计

1.1 与非门基础 (3)

1.2 CMOS二输入与非门 (4)

二版图设计

2.1 LASI7软件介绍 (5)

2.2 版图设计过程 (5)

三规则检查 (8)

四 LTspice仿真 (10)

4.1电路仿真分析软件简介 (10)

4.2 LTspice仿真过程 (11)

五总结 (15)

六参考文献 (15)

MOS(Metal-Oxide-Semiconductor)晶体管是一种金属-氧化物半导体硅场效应管,分为PMOS管和NMOS管两种,由NMOS和PMOS共同构成的电路即为CMOS电路。和传统的TTL电路相比,MOS集成电路具有功耗较低,速度较快,输入阻抗高,热稳定性好等优点,因而在目前有着广泛的应有,可以预见的是,MOS集成电路代替TTL电路已是大势所趋。

与非门是一种数字电路的基本逻辑电路,可以看做是与门与非门的结合,若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1),在数字电路中有着非常重要的作用。

本设计旨在采用CMOS设计一个二输入的与非门,根据需要,它由两个PMOS(M3和M4)和两个NMOS(M1和M2)构成。其中,两个PMOS作为上拉管,两个NMOS作为下拉管,两个输入信号A和B分别加在两对互补的NMOS管和PMOS管的栅极上,输出从他们的漏极引出。设计完之后,用LASI7软件画出版图并进行规则检查。

关键词:CMOS、与非门、逻辑电路、LASI7

一电路设计

1.1 与非门基础

与非门是数字电路中一种重要的逻辑电路,本设计设计的是二输入与非门,它有两个输入端和一个输出端,当输入均为高电平,输出为低电平;若输入中至少有一个为低电平,则输出为高电平,其逻辑符号如图1所示

图1 二输入与非门逻辑符号

由于有两个输入,所以真值表中它的组合共有4种形式,如表1所示

1.2 CMOS二输入与非门

二输入与非门的下拉管由串联的NMOS管M1和M2组成,上拉管则由并联的PMOS管的M3和M4构成。两个输入信号A和B分别加在两对互补的NMOS管和PMOS管的栅极上,输出从他们的漏极引出。

当两个输入端A和B窦唯高电平时,两只NMOS下拉管M1和M2都导通,而两只PMOS上拉管M3和M4都截止,电源V DD和地之间没有电流通路形成,输出被导通的NMOS下拉管拉倒低电平0,则输出为低电平;只要两个输入端有低电平输入,其对应的PMOS上拉管导通,但NMOS下拉管截止,电源V DD到地之间没有电流通路形成,输出被导通的上拉管拉到V DD即输出高电平。与真值表相对照,发现该电路实现了二输入与非门的功能。用Multisim画出电路设计如图2所示

图2 CMOS二输入与非门

二版图设计

2.1 LASI7软件介绍

LASIS是一个通用的IC布线和设计系统。LASI可以用于各种电路的设计和布线,LASI的图形功能很强,能够输入原理图并对其进行分析。LASI由一个主要的画图主程序和几个工具程序组成。包括GDS、CIF、DXF格式转换工具、位图格式的设计规则检查工具和一个能从原理图提取SPICE文件的图形化的SHPICE编译器。LASI在80年代中被作者用来在MS-DOS下作为自己设计IC的软件,后来作者开发了WINDOWS下的LASI。LASI本身不支持SPICE仿真,但是LASI能够与现有很好的SPICE仿真工具集成。另外,LASI作为一个开放式的项目,相关的电路资源十分丰富。

2.2 版图设计过程

完成了电路原理图设计之后,需要用LASI7进行版图的设计。打开LASI7之后,首先要对各层属性进行设计,点击Attr按钮,在弹出的对话框中即可进行相关层属性的设置。如图3所示

图3 Attr界面

本设计对板层属性的设置如表2所示

接下来设置栅格属性,打开Cnfg对话框进行设置,如图4所示

图4 Cnfg设置

在设置完成之后,先新建一个cell,命名为NMOS,采用N掺杂,在该cell中画出NMOS,如图5所示。在新建cell,命名为PMOS,采用P掺杂,N阱工艺画出PMOS,如图6所示。

图5 NMOS版图图6 PMOS版图

在画好了NMOS和PMOS之后,再新建一个cell,调出NMOS和PMOS 画出最终需要的CMOS二输入与非门。其中,电源部分采用N阱工艺,同时加入N掺杂,GND部分采用P掺杂。如图7所示

图7 CMOS二输入与非门

三规则检查

在设计好了版图之后,需要对相关的规则的进行检查。点击System-LasiDrc-Setup-Browse,将规则导入,如图8所示,点击“OK”。

图8 规则导入

导入完成后点击Go即开始检查,结果如图9和图10所示

图9 规则检查过程

图10 规则检查结果

从检查结果可以看出,本设计没有错误。

四 LTspice仿真

4.1电路仿真分析软件简介

电路仿真(simulation)分析软件很多,有用于模拟电路的、有用于数字电路的、有既可以用于数字电路也可以用于模拟电路的,而且在这些软件中,有的功能非常强大,用户使用起来很方便、并且容易入手,而有些就要逊色多了,用户可以根据实际情况选择适合的。LTspice是集成电路仿真分析软件其中之一,它是一个可视化的图形输入电路仿真软件,在windows操作系统下运行。Linear Technology 公司是一家大型的美国电子元器件制造商,它生产各种各样电子元器件,有模拟电路元器件、有数字电路元器件等等。

Linear Technology Corporation的LTspice/SwitcherCAD是一款免费易用强大无限制的电路图编辑和仿真工具。有spice netlist 导出功能(也可以导出标准spice之外的其他软件的网表格式),方便配合其它仿真工具。

4.2 LTspice仿真过程

首先打开LTspice,新建一个原理图界面,找到相关的元器件画出二输入与非门的原理图,如图11所示。同时,需要设计PMOS和NMOS的相关参数,这里讲PMOS和NMOS的长宽都设为0.18μ,如图12、13所示。

图11 二输入与非门电路原理图

图12 PMOS参数设定

图13 NMOS参数设定

接下来新建一个符号界面,对电路原理图进行封装,如图14所示。这里需要注意符号文件保存的名称要和原理图一致,否则仿真时软件将因为找不到底层文件而不能运行。

图14 符号界面封装图

最后要给封装好的模块添加信号源。新建一个原理图,找到封装模型,加入信号源。设置相关的输入信号,仿真类型为仿真做准备。如图15所示

图15 仿真原理图

完成了以上内容之后就可以进行仿真验证了。在这里,输入信号A是一个幅值为5V,周期为4ms,脉冲宽度为1ms,上升时间和下降时间都为0的方波,如图16所示;输入信号B是一个幅值为5V,周期为4ms,脉冲宽度为3ms,上升周期和下降周期都为0的方波,如图17所示。并对它们选用3个周期进行仿真验证。

图17 信号A波形图

图18 信号B波形图

从中可以看出,虽然上升时间和下降时间以及延时时间都设为了0,但波形还是出现了明显地瑕疵。

点击F之后观察输出信号如图19所示。

图19 输出波形图

从表1可以知道,与非门只有当输入信号都为1时输出才为0,其它组合下输出都为0,对比图17,18,19可以发现,仿真得到的结果与理论分析是吻合的。

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

输入与非门电路版图设计

成绩评定表

课程设计任务书

目录 1 绪论 (1) 1.1设计背景 (1) 1.2设计目标 (1) 2 四输入与非门电路 (2) 2.1电路原理图 (2) 2.2四输入与非门电路仿真观察波形 (2) 2.3四输入与非门电路的版图绘制 (3) 2.4四输入与非门版图电路仿真观察波形 (4) 2.5LVS检查匹配 (5) 总结 (7) 参考文献 (8) 附录一:电路原理图网表 (9) 附录二:版图网表 (10)

1 绪论 1.1 设计背景 tanner是用来IC版图绘制软件,许多EDA系统软件的电路模拟部分是应用Spice程序来完成的,而tanner软件是一款学习阶段应用的版图绘制软件,对于初学者是一个上手快,操作简单的EDA软件。 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国内应用广泛,具有很高知名度。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2设计目标 1.用tanner软件中的原理图编辑器S-Edit编辑四输入与非门电路原理图。 2.用tanner软件中的W-Edit对四输入与非门电路进行仿真,并观察波形。 3.用tanner软件中的L-Edit绘制四输入与非门版图,并进行DRC验证。 4.用W-Edit对四输入与非门的版图电路进行仿真并观察波形。 5.用tanner软件中的layout-Edit对四输入与非门进行LVS检验观察原理图与版图的匹配程度。

电路四输入与非门设计

课程设计任务书 学生姓名:专业班级:电子1003班 指导教师:封小钰工作单位:信息工程学院 题目: CMOS四输入与非门电路设计 初始条件: 计算机、ORCAD软件、L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习ORCAD软件、L-EDIT软件。 (2)设计一个CMOS四输入与非门电路。 (3)利用ORCAD软件、L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2013.11.22布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2013.11.25-11.27学习ORCAD软件、L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。 2013.11.28-12.5对CMOS四输入与非门电路进行设计仿真工作,完成课设报告的撰写。 2013.12.6 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

摘要........................................................................ I Abstract ................................................................... II 1 绪论 (1) 2 设计内容及要求 (2) 2.1 设计的目的及主要任务 (2) 2.2 设计思想 (2) 3软件介绍 (3) 3.1 OrCAD简介 (3) 3.2 L-Edit简介 (4) 4 COMS四输入与非门电路介绍 (5) 4.1 COMS四输入与非门电路组成 (5) 4.2 四输入与非门电路真值表 (6) 5 Cadence中四输入与非门电路的设计 (7) 5.1 四输入与非门电路原理图的绘制 (7) 5.2 四输入与非门电路的仿真 (8) 6 L-EDIT中四输入与非门电路版图的设计 (10) 6.1 版图设计的基本知识 (10) 6.2 基本MOS单元的绘制 (11) 6.3 COMS四输入与非门的版图设计 (13) 7课程设计总结 (14) 参考文献 (15)

三输入或门版图设计地

1绪论 1.1 设计背景 随着集成电路技术的日益进步,使得计算机辅助设计(CAD)技术已成为电路设计师不可缺少的有力工具[1]。国外电子线路CAD软件的相继推出与版本更新,使CAD技术的应用渗透到电子线路与系统设计的各个领域,如芯片版图的绘制、电路的绘图、模拟电路仿真、逻辑电路仿真、优化设计、印刷电路板的布线等。CAD技术的发展使得电子线路设计的速度、质量和精度得以保证。在众多的CAD 工具软件中,Spice程序是精度最高、最受欢迎的软件工具,tanner是用来IC 版图绘制软件,许多EDA系统软件的电路模拟部分是应用Spice程序来完成的,而tanner软件是一款学习阶段应用的版图绘制软件,对于初学者是一个上手快,操作简单的EDA软件。 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国应用广泛,具有很高知名度。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案[2]。L-Edit Pro 丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 虽然SPICE开发至今已超过20年,然而其重要性并未随着制程的进步而降低。就国的设计环境而言,商用的SPICE模拟软件主要有Hspice、Pspice、SBTspice、SmartSpice与Tspice等。 HSpice是Spice程序应用在PC上的程序,它的主要算法与Spice相同。由于HSpice A/D程序集成了模拟与数字电路的仿真运算法,它不仅可以仿真单一

cad设计二输入讲解

《集成电路CAD》课程设计报告》 ——两输入或非门的设计 班级: 学号: 姓名: 指导教师:

一、设计要求 (1)绘制电路图 a、明确电路结构; b、明确电路中器件的类型、数目; c、明确电路中端口的数目以及所联接的信号类型; d、确定MOS的宽长比,确定MOS管的尺寸,沟长采用所用工艺规定的最 小条宽的整数倍。 (2)根据电路结构绘制版图 在正确的电路结构基础上,绘制版图: a、要求版图中电路的元件数目、类型以及尺寸与所画电路结构保持一致; b、要求元件之间连接正确,并与所确定电路结构保持一致; c、要求版图中电路的端口数目、位置与所确定电路保持一致; (3)DRC验证 绘制版图后要进行DRC验证: a、采用DRC规则文件对绘制版图进行DRC校验; b、根据校验提示语句修改版图直至正确为止,提交正确的DRC校验结果。 (4)撰写课程设计报告 按以下要求书写: a、报告严格按照以下提供模板格式书写; b、报告内容要含有原电路电路图以及所绘制版图的截图; c、报告内容要含有DRC校验结果(相关截图以及文件)。 二、设计目的 1、熟悉candence软件,并掌握其各种工具的使用方法。 2、用cadence设计一个三输入或非门,并画出仿真电路、版图、并验证其特性。 三、设计的具体实现 1.电路概述 二输入或非门有两个输入端A和B以及一个输出端Q,当A端或B端为高电平时输出为低电平,当两个输入都为低电平输出才为高,表达式如下所示: = Y+ A B

或非门的电路符号和真值表如图1所示: 图2 由于此次是用CMOS管构建的二输入或非门,而CMOS管的基本门电路有非门、与非门、或非门等,所以直接用CMOS管搭建出二输或非门电路。原理图如图二所示。 2.cadence简介: Cadence公司的电子设计自动化(Electronic Design Automation)产品涵盖了电子设计的整个流程,包括系统级设计,功能验证,IC综合及布局布线,模拟、混合信号及射频IC设计,全定制集成电路设计,IC物理验证,PCB设计和硬件仿真建模等。本次设计是基于cadence工具的三输入或非门的电路和版图设计。

三输入多数表决器版图设计

集成电路版图设计 课程设计报告 课题名称:三输入多数表决器 姓名: XXXX 学号: 21111111 班级:电子科学与技术班

1.概述 集成电路是一种微型电子器件或部件。它是采用一定的工艺,把一个电路中所需的晶体管等有源器件和电阻、电容等无源器件及布线互连在一起,制作在一小块半导体晶片上,封装在一个管壳内,执行特定电路或系统功能的微型结构;这样,整个电路的体积大大缩小,且引出线和接点的数目也可控制、大为减少,从而使电子元件向着微小型化、低功耗和高可靠性方面迈进一大步。目前,集成电路经历了小规模集成、中规模集成、大规模集成和超大规模集成。单个芯片上已经可以制作包含臣大数量晶体管的、完整的数字系统。 在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。 版图设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。在版图设计过程中,要进行定期的检查,避免错误的积累而导致难以修改。 2.设计要求 1) .设计一个三输入的多数表决器的版图。

2).分析三输入多数表决器的功能及逻辑关系。 3).用与非门的形式构建该表决器的电路图。 4).利用EDA工具PDT画出其相应版图。 5).利用几何设计规则文件进行在线DRC验证并修改版图。3.电路分析 根据三输入多数表决器的功能要求设计如果同意则输入1不同意输入0三输入表决器功能为有两个或者两个以上人同意则,则输出1,否者输出0,其真值表如下: 化简真值表得逻辑表达式表示并化简为: Out=A BC + A B C + AB C +ABC =AB+BC+AC = AB BC AC 这样可以用到三个两输入与非门和一个四输入与非门,达到逻辑功能和晶体管数量最小化的效果,节约了版图资源,减小了复杂程度。其逻辑电路图很容易得出如下:

7400TTL2输入端四与非门

7400TTL2输入端四与非门 7401TTL集电极开路2输入端四与非门7402TTL2输入端四或非门 7403TTL集电极开路2输入端四与非门7404TTL六反相器 7405TTL集电极开路六反相器 7406TTL集电极开路六反相高压驱动器7407TTL集电极开路六正相高压驱动器7408TTL2输入端四与门 7409TTL集电极开路2输入端四与门7410TTL3输入端3与非门

74107TTL带清除主从双J-K触发器74109TTL带预置清除正触发双J-K触发器7411TTL3输入端3与门 74112TTL带预置清除负触发双J-K触发器7412TTL开路输出3输入端三与非门74121TTL单稳态多谐振荡器 74122TTL可再触发单稳态多谐振荡器74123TTL双可再触发单稳态多谐振荡器74125TTL三态输出高有效四总线缓冲门74126TTL三态输出低有效四总线缓冲门7413TTL4输入端双与非施密特触发器

74132TTL2输入端四与非施密特触发器74133TTL13输入端与非门 74136TTL四异或门 74138TTL3-8线译码器/复工器 74139TTL双2-4线译码器/复工器7414TTL六反相施密特触发器 74145TTLBCD—十进制译码/驱动器7415TTL开路输出3输入端三与门74150TTL16选1数据选择/多路开关74151TTL8选1数据选择器 74153TTL双4选1数据选择器

74154TTL4线—16线译码器 74155TTL图腾柱输出译码器/分配器 74156TTL开路输出译码器/分配器 74157TTL同相输出四2选1数据选择器 74158TTL反相输出四2选1数据选择器7416TTL开路输出六反相缓冲/驱动器 74160TTL可预置BCD异步清除计数器74161TTL可予制四位二进制异步清除计数器74162TTL可预置BCD同步清除计数器74163TTL可予制四位二进制同步清除计数器74164TTL八位串行入/并行输出移位寄存器74165TTL八位并行入/串行输出移位寄存器

Lab 2 二与非门电路原理图设计

Lab 2 二与非门电路原理图设计 1.实验目的 1.1了解Schematic设计环境 1.2掌握二与非门电路原理图输入方法 1.3掌握逻辑符号创建方法 2.实验原理 2.1Schematic设计环境 启动Schematic Editor后,在命令解释窗口CIW中,打开任意库与单元中的Schematic视图,浏览Schematic Editing窗口如图2.1所示,顶部为菜单栏(Menu),左侧为图标栏(Icon Bar),具体介绍如下: 图2.1 Schematic Editing窗口 菜单栏 菜单栏中可选菜单有Tool、Design、Window、Edit、Add、Check、Sheet、Options等项。其中常用菜单有: Tool菜单提供设计工具以及辅助命令。比如,lab4、lab5所使用的仿真工具ADE,就在Tool下拉菜单中。 Window菜单中的各选项有调整窗口的辅助功能。比如,Zoom选项对窗口放大(Zoom in)与缩小(Zoom out),fit选项将窗口调整为居中,redraw选项为刷新。 Edit菜单实现具体的编辑功能,主要有取消操作(Undo)、重复操作(Redo)、拉伸(Stretch)、拷贝(copy)、移动(Move)、删除(Delete)、旋转(Rotate)、属性(Properties)、选择(Select)、查找(Search)等子菜单,在以下实验中将大量应用。 Add菜单用于添加编辑所需要的各种素材,比如元件(Instance)或输入输出端点(pin)等。 图标栏 图标栏内的所有命令都可以在菜单栏实现,图标栏提供使用频率较高的一些

与非门版图设计

目录 1绪论 (2) 1.1 设计背景 (2) 1.2设计目标 (2) 2与门电路设计 (3) 2.1电路原理 (3) 2.2电路结构 (3) 2.3与门电路仿真波形 (4) 2.4与门电路的版图绘制及DRC验证 (5) 2.5与门电路版图仿真 (6) 2.6 LVS检查匹配 (6) 总结 (8) 参考文献 (9) 附录一版图网表: (10) 附录二电路图网表 (12)

1绪论 1.1 设计背景 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国内应用广泛,具有很高知名度。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2设计目标 1.用MOS场效应管实现二输入与门电路。 2.用tanner软件中的原理图编辑器S-Edit编辑反相器电路原理图。 3.用tanner软件中的W-Edit对反相器电路进行仿真,并观察波形。 4.用tanner软件中的L-Edit绘制反相器版图,并进行DRC验证。 5.用W-Edit对反相器的版图电路进行仿真并观察波形。 6.用tanner软件中的layout-Edit对反相器进行LVS检验观察原理图与版图的 匹配程度。

4012 CMOS 双4输入与非门

TL F 5940CD4002M CD4002C Dual 4-Input NOR Gate CD4012M CD4012C Dual 4-Input NAND Gate March 1988 CD4002M CD4002C Dual 4-Input NOR Gate CD4012M CD4012C Dual 4-Input NAND Gate General Description These NOR and NAND gates are monolithic complementa-ry MOS (CMOS)integrated circuits The N-and P-channel enhancement mode transistors provide a symmetrical cir-cuit with output swings essentially equal to the supply volt-age This results in high noise immunity over a wide supply voltage range No DC power other than that caused by leak-age current is consumed during static conditions All inputs are protected against static discharge and latching condi-tions Features Y Wide supply voltage range 3 0V to 15V Y Low power 10nW (typ )Y High noise immunity 0 45V DD (typ ) Applications Y Automotive Y Alarm system Y Data terminals Y Industrial controls Y Instrumentation Y Remote metering Y Medical Electronics Y Computers Connection Diagrams CD4002 Dual-In-Line Package TL F 5940–1Top View CD4012 Dual-In-Line Package TL F 5940–2 Top View Order Number CD4002or CD4012 C 1995National Semiconductor Corporation RRD-B30M105 Printed in U S A

数字电子技术基础第三版第二章答案

第二章逻辑门电路 第一节重点与难点 一、重点: 1.TTL与非门外特性 (1)电压传输特性及输入噪声容限:由电压传输特性曲线可以得出与非门的输出信号随输入信号的变化情况,同时还可以得出反映与非门抗干扰能力的参数U on、U off、U NH和U NL。开门电平U ON是保证输出电平为最高低电平时输入高电平的最小值。关门电平U OFF是保证输出电平为最小高电平时,所允许的输入低电平的最大值。 (2)输入特性:描述与非门对信号源的负载效应。根据输入端电平的高低,与非门呈现出不同的负载效应,当输入端为低电平U IL时,与非门对信号源是灌电流负载,输入低电平电流I IL通常为1~。当输入端为高电平U IH时,与非门对信号源呈现拉电流负载,输入高电平电流I IH通常小于50μA。 (3)输入负载特性:实际应用中,往往遇到在与非门输入端与地或信号源之间接入电阻的情况,电阻的取值不同,将影响相应输入端的电平取值。当R≤关门电阻R OFF时,相应的输入端相当于输入低电平;当R≥?开门电阻R ON时,相应的输入端相当于输入高电平。 2.其它类型的TTL门电路 (1)集电极开路与非门(OC门) 多个TTL与非门输出端不能直接并联使用,实现线与功能。而集电极开路与非门(OC 门)输出端可以直接相连,实现线与的功能,它与普通的TTL与非门的差别在于用外接电阻代替复合管。 (2)三态门TSL 三态门即保持推拉式输出级的优点,又能实现线与功能。它的输出除了具有一般与非门的两种状态外,还具有高输出阻抗的第三个状态,称为高阻态,又称禁止态。处于何种状态由使能端控制。 3.CMOS逻辑门电路 CMOS反相器和CMOS传输门是CMOS逻辑门电路的最基本单元电路,由此可以构成各种CMOS逻辑电路。当CMOS反相器处于稳态时,无论输出高电平还是低电平,两管中总有一管导通,一管截止,电源仅向反相器提供nA级电流,功耗非常小。CMOS器件门限电平U TH近似等于1/2U DD,可获得最大限度的输入端噪声容限U NH和U NL=1/2U DD。 二、难点: 1.根据TTL与非门特性,正确分析和设计电路; 2.ECL门电路的逻辑功能分析; 3.CMOS电路的分析与设计; 4.正确使用逻辑门。 三、考核题型与考核重点 1.概念 题型为填空、判断和选择。

实验二--组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的分析与设计方法。 2、加深对基本门电路使用的理解。 二、实验原理 1、组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他 功能的门电路。例如,根据与门的逻辑表达式Z= AB =得知,可以用两 个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。 2、分析组合逻辑电路的一般步骤是: 1)由逻辑图写出各输出端的逻辑表达式; 2)化简和变换各逻辑表达式; 3)列出真值表; 4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 3、设计组合逻辑电路的一般步骤与上面相反,是: 1)根据任务的要求,列出真值表; 2)用卡诺图或代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件构成电路; 4)最后,用实验来验证设计的正确性。 4、组合逻辑电路的设计举例 1)用“与非门”设计一个表决电路。当四个输入端中有三个或四个“1”时, 输出端才为“1”。 设计步骤: 根据题意,列出真值表如表2-1所示,再添入卡诺图表2-2中。 表2-1 表决电路的真值表 表2-2 表决电路的卡诺图 然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式: ABD CDA BCD ABC Z+ + + = B A+

? = ? ABC? ACD BCD ABC 最后,画出用“与非门”构成的逻辑电路如图2-1所示: 图2-1 表决电路原理图 输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。 三、实验设备与器材 1.数字逻辑电路实验箱。 2.数字逻辑电路实验箱扩展板。 3.数字万用表。 4.芯片74LS00、74LS02、74LS04、74LS10、74LS20。 四、实验内容实验步骤 1、完成组合逻辑电路的设计中的两个例子。 2、设计一个四人无弃权表决电路(多数赞成则提议通过),要求用四2输入与非门 来实现。 3、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。 要求: 1)当控制信号C=1时,它将8421码转换成为格雷码;当控制信号C=0时,它 将格雷码转换成为8421码; 2)写出设计步骤,列出码变换关系真值表并画出逻辑电路图; 3)安装电路并测试逻辑电路的功能。 五、实验预习要求 1、复习各种基本门电路的使用方法。 2、实验前,画好实验用的电路图和表格。 3、自己参考有关资料画出实验内容2、3、4中的原理图,找出实验将要使用的芯 片,以备实验时用。 六、实验报告要求 1、将实验结果填入自制的表格中,验证设计是否正确。 2、总结组合逻辑电路的分析与设计方法。

二输入与非门、或非门版图设计

课程名称Course 集成电路设计技术 项目名称 Item 二输入与非门、或非门版图设 计 与非门电路的版图: .spc文件(瞬时分析): * Circuit Extracted by Tanner Research's L-Edit / Extract ; * TDB File: E:\cmos\yufeimen, Cell: Cell0 * Extract Definition File: C:\Program Files\Tanner EDA\L-Edit\spr\ * Extract Date and Time: 05/25/2011 - 10:03 .include H:\ VPower VDD GND 5 va A GND PULSE (0 5 0 5n 5n 100n 200n) vb B GND PULSE (0 5 0 5n 5n 50n 100n) .tran 1n 400n .print tran v(A) v(B) v(F) * WARNING: Layers with Unassigned AREA Capacitance. * * *

*

* *

* WARNING: Layers with Unassigned FRINGE Capacitance. * * * * *

* *

* * WARNING: Layers with Zero Resistance. * * * * * NODE NAME ALIASES * 1 = VDD (34,37) * 2 = A , * 3 = B , * 4 = F , * 6 = GND (25,-22) M1 VDD B F VDD PMOS L=2u W=9u AD=99p PD=58u AS=54p PS=30u * M1 DRAIN GATE SOURCE BULK M2 F A VDD VDD PMOS L=2u W=9u AD=54p PD=30u AS=99p PS=58u * M2 DRAIN GATE SOURCE BULK M3 F B 5 GND NMOS L=2u W= AD= PD=30u AS=57p PS=31u * M3 DRAIN GATE SOURCE BULK -18 M4 5 A GND GND NMOS L=2u W= AD=57p PD=31u AS= PS=30u * M4 DRAIN GATE SOURCE BULK -18 * Total Nodes: 6 * Total Elements: 4 * Extract Elapsed Time: 0 seconds .END 与非门电路仿真波形图(瞬时分析):

CMOS双输入与非门直流特性分析

实验四:与非门的直流分析 一、实验目的 1.掌握T-SPICE 的操作(包括加入工作电源、编辑对象、分析设定、输出设定、进行 模拟、观看结果等); 2.掌握直流分析的方法及流程,并会分析结果。 二、预习要求 1.了解对一个模块直流分析的基本要求(对电源、输入/输出如何定义); 2.了解整个直流分析流程。 三、实验内容 1.对与非门进行直流分析; 2.改变参数观看仿真结果。 四、实验报告要求 实验报告包括以下内容: 1.电路原理图及模拟结果; 2.调试过程; 3.遇到的问题及处理方法; 4.实验的体会。 五、操作步骤: 实验步骤: 1.新建文件夹:在电脑E 盘新建文件夹,文件夹名为ex4。 2.打开S-Edit 软件:执行D:\Tanner\tanner\S-Edit 目录下的sedit.exe 文件,即可打开S-Edit 程序。 3.另存新文件:选择File——Save As 命令,打开“另存为”对话框,在“保存在”下拉列表中选择保存的路径,在“文件名”文本框中输入新文件的名称,如ex4,如图下图所示。

4.复制NAND 模块:要复制ex2 的NAND 模块到ex4 文件中,必须先打开实验二编辑的 文件“ex2.sdb”,选择File——Open 打开ex2.sdb 文件。进行复制之前必须回到ex4 文件环 境,方法为选择Module——Open 命令,打开Open Module 对话框,在Files 下拉列表中选择ex4 选项,单击OK 按钮,回到ex4 环境,才能进行复制模块的操作。选择Module——Copy 命令,打开Copy Module 对话框,如下图所示,在Files 下拉列表中选择ex2 选项,在 Select Module To Copy 列表框中选择NAND 选项,单击OK 按钮,即完成将NAND 模块从 ex2 文件中复制到ex4 文件的操作。 5.打开NAND 模块:由于上一步骤复制模块的操作只是在ex4 文件中增加了NAND 模块(还有NAND 引用到的模块Vdd, Gnd,MOSFET_N 与MOSFET_P ),而ex4 依旧在Module0 模块的编辑环境下,所以要编辑NAND 模块必须先选择Module——open 命令,打开Open Module 对话框,如下图所示,在Files 下拉列表中选择ex4 选项,在Select Module To Open 列表框中选择NAND 选项,单击OK 按钮。

实验一 二输入端与非门的设计EPM7128-bdf_百度文库.

实验一二输入端与非门的设计 利用EDA-V+板,使用Quartus II 9.0软件,完成实验内容。 二输入端与非门有2个输入端,1个输出端。在 EPM7128SLC84-15芯片内实现功能,选择合适的引脚。1. 实验目的 掌握Quartus II 9.0软件的使用; 学会实验箱EDA-V+资源的使用。 2. 实验原理 2二输入端与非门有2个输入端:A、B;1个输出端Y。其真值表如表1所示。 表1 4选1二输入端与非门真值表 地址输入输出 A B Y 0 0 1 0 11 10 1 110 3. 实验步骤

(1 建立工程项目: 确定具有合法的密码文件后,安装进入如下提示: 图1 点击NEXT进入建立工程对话窗口: 图2

或者,从主菜单选取File→New Project Wizard,同样出现图 2。来创建工程。 图3 从主下拉菜单主选择路径新建工程项目 出现对话框如图4所示。 图4 新建工程

更改工程保存的路径为D:\EDA_test\2nand,工程名称为2nand,文件名称为2nand,点击Next,提示是否创建“D:\EDA_test\2nand”? 选择“是”,出现: 在上图中的File name对话框内输入2nand.bdf,

然后,点击右侧的Add。 图3 添加文件到工程中 接着点击Next,在下图中选择所使用的器件。在 Family“系列”下拉窗口中选择MAX7000S,在Available devices 下选择EPM7128SLC84-15具体器件。

图4 选择器件 在图4中,点击Next进入图5。 图5 选择第三方工具 在图5中取默认值,直接点击Next进入图6。

三输入与或门设计

《集成电路版图设计》实验(一): 三输入与或门设计 一.设计目的 1、掌握使用Ledit软件绘制基本的元器件单元版图。 2、掌握数字电路基本单元CMOS版图的绘制方法,并利用CMOS版图设计简单的门电路,然后对其进行基本的DRC检查。 3、学习标准逻辑单元的版图绘制。 二.设计原理 (一)设计步骤: 1、设计参数设置:包括工艺参数设置(理解 Technology Unit 和Technology Setup的关系)、栅格设置(理解显示栅格、鼠标栅格和定位栅格)、选择参数设置等 2、布局布线:安排各个晶体管、基本单元、复杂单元在芯片上的位置,并且设计走线,实现管间、门间、单元间的互连。 4、尺寸确定:确定晶体管尺寸(W、L)、互连尺寸(连线宽度)以及晶体管与互连之间的相对尺寸等(此次实验可以忽略)。 5、版图编辑(Layout Editor ):规定各个工艺层上图形的形状、尺寸和位置。 6、布局布线(Place and route ):给出版图的整体规划和各图形间的连接。 7、版图检查(Layout Check ):设计规则检验(DRC,Design Rule

Check),能够找到DRC规则在版图的应用点。 (二)设计目标: 1、满足电路功能、性能指标、质量要求。 2、尽可能达到面积的最小化,以提高集成度,降低成本。 3、尽可能缩短连线,以减少复杂度,缩短延时、改善可靠性。三.设计内容 用CMOS工艺设计一个三输入与或门F=A+B﹡C,进行基本的DRC 检查。 四.评价标准 本次的实验作业旨在让同学通过亲身实践,对所学的CMOS集成电路设计有一个更系统更全面的了解,并且通过软件的使用,达到将来参与电路设计工作的的入门练习作用。 五.部分设计规则描述 设计规则是设计人员与工艺人员之间的接口与“协议”,版图设计必须无条件的服从的准则,可以极大地避免由于短路、断路造成的电路失效和容差以及寄生效应引起的性能劣化。设计规则主要包括几何规则、电学规则以及走线规则。其中几何设计规则通常有两类: ①微米准则:用微米表示版图规则中诸如最小特征尺寸和最小允许间隔的绝对尺寸。 ②λ准则:用单一参数λ表示版图规则,所有的几何尺寸都与λ成线性比例。 设计规则分类如下:

集成电路课程设计(CMOS二输入与门).

课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2013.11.22布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2013.11.25-11.27学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 2013.11.28-12.5对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 2013.12.6 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 摘要 (2) 绪论 (3) 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 3.3、二输入与门电路 (8) 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计................................................... (20) 5.1、PMOS管版图设计 (20) 5.2、NMOS管版图设计 (22) 5.3、与门版图设计 (23) 5.4、总版图DRC检查及SPC文件的生成 (25) 六、心得体会 (28) 七、参考文献 (29) 八、附录 (30)

二输入与非门,或非门版图设计

课程名称 Course 集成电路设计技术项目名称 Item 二输入与非门、或非门版图 设计 与非门电路的版图: .spc文件(瞬时分析): * Circuit Extracted by T anner Research's L-Edit V7.12 / Extract V4.00 ; * TDB File: E:\cmos\yufeimen, Cell: Cell0 * Extract Definition File: C:\Program Files\Tanner EDA\L-Edit\spr\morbn20.ext * Extract Date and Time: 05/25/2011 - 10:03 .include H:\ml2_125.md VPower VDD GND 5

va A GND PULSE (0 5 0 5n 5n 100n 200n) vb B GND PULSE (0 5 0 5n 5n 50n 100n) .tran 1n 400n .print tran v(A) v(B) v(F) * WARNING: Layers with Unassigned AREA Capacitance. * * * *

* *

* WARNING: Layers with Unassigned FRINGE Capacitance. * * * * *

* *

* * WARNING: Layers with Zero Resistance. * * * * * NODE NAME ALIASES * 1 = VDD (34,37) * 2 = A (29.5,6.5) * 3 = B (55.5,6.5) * 4 = F (42.5,6.5) * 6 = GND (25,-22) M1 VDD B F VDD PMOS L=2u W=9u AD=99p PD=58u AS=54p PS=30u * M1 DRAIN GATE SOURCE BULK (47.5 14.5 49.5 23.5) M2 F A VDD VDD PMOS L=2u W=9u AD=54p PD=30u AS=99p PS=58u * M2 DRAIN GATE SOURCE BULK (39.5 14.5 41.5 23.5) M3 F B 5 GND NMOS L=2u W=9.5u AD=52.25p PD=30u AS=57p PS=31u * M3 DRAIN GATE SOURCE BULK (47.5 -18 49.5 -8.5) M4 5 A GND GND NMOS L=2u W=9.5u AD=57p PD=31u AS=52.25p PS=30u

相关文档
最新文档