乘法器课程设计

乘法器课程设计
乘法器课程设计

中文摘要

在科技巨轮的飞速旋转下,当今数字技术应用在生活中可以说是无处不在。信息技术型人才的需求与日俱增,为跟上时代数字发展的阔步迈进,保证为社会提供具有创新能力,能解决实际问题的高新型技术人才成为高等教育的首要任务之一。数字电子技术正是应和当今教育趋势的一门必修基础课。

中规模集成电路及其应用,本身就是一种促进时代进步的优秀的数字逻辑设计作品,使用时只需适当地进行连接,就能实现预定的逻辑功能。而且由于他们所具有的通用性、灵活性、及多功能性,使之除完成基本功能之外,还能以他们为基本器件组成各类逻辑部件和数字系统,有效地实现各种逻辑功能。

乘法器正是中规模集成电路应用中的典型代表,该设计根据乘法的运算原理为加法(即被乘数与乘数的拆项求积后的移位相加),通过键盘、优先编码器、移位寄存器、加法器、译码器和显示器等通过十进制数到二进制及BCD码的码实现数字电路的乘法可算及可视。该设计电路不单用于单纯的乘法运算,亦是构成其他大规模集成电路的基本组成部分,完成在系统里实行符合该电路模块工作特性的分支操作。

关键词电力系统,集成电路,加法器,分支操作,寄存器

目录

课程设计任务书..............................................................................................I 课程设计成绩评定表.....................................................................................II 中文摘要......................................................................................................III 1 设计任务描述.. (1)

1.1 设计题目 (1)

1.2 设计要求 (1)

1.2.1 设计目的 (1)

1.2.2 基本要求 (1)

1.2.3 发挥部分 (1)

2 设计思路 (2)

3 设计方框图 (3)

4 各部分电路设计及参数计算 (4)

4.1 键盘输入及寄存电路 (4)

4.1.1键盘输入及寄存电路设计 (4)

4.2乘法运算电路设计及其参数计算 (5)

4.2.1电路设计 (5)

4.2.2电路说明 (6)

4.2.3参数计算 (6)

4.3.1 电路设计 (7)

4.3.2电路说明 (7)

4.4显示电路设计 (8)

4.5夜间照明电路 (8)

5 工作过程分析 (9)

6 元器件清单 (11)

7主要元器件介绍 (12)

7.1 双向移位寄存器74LS194 (12)

7.1.1 74LS194的功能介绍 (12)

7.1.2 74LS194的功能表 (12)

7.2 超前进位全加器74HC283 (13)

7.2.1 74HC283的工作原理介绍 (13)

7.2.2 74HC283的功能表 (13)

7.3 编码器74148N (14)

7.3.1 74148N的工作原理 (14)

7.3.3 74148N的状态表 (15)

小结 (16)

致谢 (17)

参考文献 (18)

附录 (19)

A1逻辑电路图 (19)

1 设计任务描述

1.1 设计题目

三位二进制数的乘法器

1.2 设计要求

1.2.1 设计目的

(1)掌握乘法器的构成、原理与设计方法;

(2)熟悉集成电路的使用方法。

1.2.2 基本要求

(1)设计一个三位二进制数的乘法器,乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全0相加,直至被乘数的最高位;

(2)掌握虚拟仪器——字信号发生器——用以检验电路;

(3)设计加法运算电路;

(4)设计BCD码转换显示电路;

1.2.3 发挥部分

2 设计思路

三位二进制数的乘法运算电路主要实现的是将两移位寄存器中的数通过与门进行相乘,再通过全加器进行加法运算,将其结果再通过寄存器用6个四段显示管显示其二进制结果。

其设计思路如下:

(1)首先,通过两个型号为74148的优先编码器,将两个(0—7)数进行进制转换,然后分别放入两个74LS194移位寄存器1和2中寄存。同时在两个四段显示管上显示出相应的十进制数。

(2)用来存放乘数的寄存器1并行输入和输出,用来存放被乘数的寄存器2并行输入和输出。将输出的数用九个与门进行相连,实现逐项相乘。

(3)然后输入74HC283超前进位全加器中逐项移位相加。

(4)把从加法器中输出的数并行输入到一个8位移位寄存器中暂时寄存,同时再并行输出到6位二进制数显示系统中。

3 设计方框图

设计方框图展现出的是设计电路的主要框图,在设计时主要实现的功能和流程,简单易懂,在设计框图中需要体现出电路的设计思想。

本设计乘法运算电路,先通过寄存器存入两数,接着相乘并进行加法。进行加法运算时,根据全加器的功能表正确输入,因此实现串行相加,把加完后的二进制转换为BCD 码,最后通过四段显示器显示运算的结果进而实现乘法运算。图3.1是乘法运算电路的设计方框图,如图3.1所示:

图3.1 乘法运算电路的设计方框图

将三位二进制数输入 将三位二进制数输入 寄存在双向移位寄存器74LS194中 全加器中输出数据在转码电路中进行转码,在四线七段显示管上显示 寄存在双向移位寄存器74LS194中 用九个与门进行逐项相乘,将乘完之后的结果送入到超前进位全加器74HC283

4 各部分电路设计及参数计算

4.1 键盘输入及寄存电路

4.1.1键盘输入及寄存电路设计

电路如图4.1.1所示。本部分电路是由两个输入键盘、两个74148转码器和两个4位双向移位寄存器74LS194所组成的。它的主要作用是将键盘输入的数据寄存起来,即起到稳定电路的功能,再将处置后的数据输送到运算电路。此部分电路还有将输入的乘数与被乘数用两个四线七段显示管显示出来得功能。

图4.1.1 寄存电路

4.1.2参数说明

由8位8进制键盘输入任意0-7十进制码,再通过74148进行转换成三位二进制码,而对于双向移位寄存器74LS194,我们需要并行输入与输出,当其三个输入控制端均为1时开始工作,因此将这三个输入端接在+5V电源上正好符合要求,使其正常工作。

4.2乘法运算电路设计及其参数计算

4.2.1电路设计

乘法运算电路如图4.2.1所示。

乘法运算电路是设计的中心,在设计的过程中,得考虑好设计的基本要求,合理的利用数字逻辑电路实现,尽量使用最少的器件来实现最优的乘法运算电路。

在设计乘法器时,以8421BCD码来代表一位数,一个两位数用两个8421BCD码表示。将优先编码器输出的二进制数寄存在双向移位寄存器74LS194中,一方面起稳定电路的作用,一方面供后面的乘法电路运算。

用来存放乘数的寄存器并行输入和输出,用来存放被乘数的寄存器也并行输入和输出。

将从寄存器中输出的数用九个与门进行相连,实现逐项相乘。

将相乘完的数输入到三个双向移位寄存器74LS194中寄存,起稳定电路的作用。然后再从三个双向移位寄存器74LS194中输出,通过其功能和对管脚的输入信号的输入次序的不同来实现移位相加进行相加。

图4.2.1 乘法运算电路设计图

4.2.2电路说明

图4.2.1为乘法运算设计图,实现的主要功能就是将双向移位寄存器74LS194输出的二进制数用九个与门相与送入到双向移位寄存器74LS194中寄存,稳定电路。然后再送入超前进位全加器74HC283中错位输入到相应管脚以便能实现错位相加进而实现乘法运算。由原理设计图我们可以看出由九个与门出来的数送入到超前进位全加器74HC283上实现的是错位相加的原理,进而实现了乘法运算。

4.2.3参数计算

0 A3 A2 A1,0 B3 B2 B1,,分别是用8421BCD码表示的被乘数和乘数。

乘法器在计算时,具体的运算公式如下:

0 A3 B1 ,A2 B1,A1 B1

A3 B2 A2 B2,A1 B2 0

+ A3 B3 A2 B3,A1 B3 0 0

S6 S5 S4 S3 S2 S1

在运算的过程中,分7种情况:

(1)当乘数的B1 为0时,运算过程将变成如下公式:

A3 B2 A2 B2,A1 B2 0

+ A3 B3 A2 B3 A1 B3 0 0

S6 S5 S4 S3 S2 S1

(2)当乘数的B2为0时,运算过程将变成如下公式:

0 A3 B1 A2 B1,A1 B1

+ A3 B3 A2 B3 A1 B3 0 0

S6 S5 S4 S3 S2 S1

(3)当乘数的B3为0时,运算过程将变成如下公式:

0 A3 B1 A2 B1,A1 B1

+ A3 B2 A2 B2 A1 B2 0 0

S6 S5 S4 S3 S2 S1

(4)当乘数的B1 B2为0时,运算结果将变成A3 A2,A1 0 0

(5)当乘数的B1 B3为0时,运算结果将变成A3 A2,A1 0

(6)当乘数的B2 B3为0时,运算结果将变成0 A3 A2,A1

(7)当乘数的B1B2 B3为0时,运算结果将变0

4.3输出寄存电路和二进制码显示电路

4.3.1 电路设计

图4.3.1为输出寄存及二进制码显示电路,

图4.3.1输出寄存二进制码显示电路

4.3.2电路说明

由超前进位全加器输出的结果为二进制表示的相乘结果,需要通过寄存器寄存一下,以保证输出电路稳定运行。首先,考虑到俩个三位二进制相乘,其输出结果最高可达六位,因此,在设计电路时为考虑经济以及其他,优先用到一个型号为八位的双向移位寄存器,此电路仍然用到了寄存器的并行输入与输出,因此必须使L、R悬空,S0、S1、CLR接到高电平VCC上,CLK接在脉冲信号以保证寄存器正常工作。其显示电路就是用六个四线七段显示器组成,零脚接信号源,其他三脚均接地。

4.4显示电路设计

本部分电路是由四线七段显示管显示器构成,是整个乘法器电路中最后的部分。本部分电路的作用是将寄存器输出的二进制码在六个并行四线七段显示器中显示,输出高电平,再驱动共阴极显示器。如此一来,二进制数便在七段数码显示器上显示出来了。

本部分电路在设计时,有一项需要注意。由于二进制乘法器主要进行的是二进制乘法运算,而二进制工作范围主要面对的是机器,可以说是机器语言,因此在输出部分没有必要再进行十进制转换了,所以在此次课程设计没有加入十进制转换电路,在现实中,可以通过一个型号为74185的译码器将二进制数转换成为十进制数

74185译码器的工作是将6比特BCD码转换成七段码,点亮正确的十进制数字相应的LED段。

4.5夜间照明电路

4.5.1 电路设计

此次课程设计中,为了体现电路人性化的设计,乘法器在输入端应该加入夜间照明系统。同时,为了体现市场经济型的指导方向,在考虑元器件选择时,我选择了极其简单的一灯一开关照明电路,这样不但保证整个系统在夜间能在夜间正常工作,而且也使成本有所降低。

4.5.2 电路原理图

图4.5.1 夜间照明电路

5工作过程分析

本次数字逻辑课程设计完成的是乘法器的设计,实现两个七以下十进制数的相乘并显示计算结果,其实质就是两个三位二进制数之间的运算。

该设计电路首先通过两个型号为74148的优先编码器将按所需要编制的二进制码分别送入两个型号为74LS194四位移位寄存器中进行存储,输入从000到111,实际就是用三位二进制数来代替一个十进制数。这里只利用移位寄存器的并行输入功能将十进制数(0~7)作为乘数和被乘数的信号存储负责消去运算过程中的竞争冒险和四段数码显示管准确显示输入的乘数与被乘数。对于寄存器74HC194,由其功能表可知当其工作时SL、SR 悬空,S0、S1、CLR端均为为高电平时,工作在并行输入与输出状态。工作时,CLK端为上边沿有效,即当输入波形出现上边沿时,寄存器原样输出,反之,进行存储数据。因此可以想象到当CLK端的脉冲信号频率越高,其整体电路运算速度越高。

然后通过九个与门将乘数三位二进制数各项分别与被乘数相乘。然后通过74HC283超前进位全加器的工作原理,在对应分项前补0接地,使乘后各分项按乘法定义对其,从而实现“移位相加”,因管脚分布原因,二进制得数末位根据电路实际需要直接输出。所得二进制得数直接送入一个型号为74198的八位双向移位寄存器中,再通过一组显示屏将结果以二进制形式表达出来。

其中发挥部分:

(1)其中负责显示乘数和被乘数的四段数码显示管,接受由优先编码器输出的二进制表示的乘数和被乘数,直接显示输入结果。

(2)工作在夜间时的照明电路,通过一灯一开关简单电路实现。

7*7工作过程具示例:

1.从键盘上输入数字7,编码器输出端为表示7的二进制数(即111,111),且四段数码

显像管显示输入的乘数与被乘数;

2.将乘数与被乘数送入四位移位寄存器中,当需要并行输出时,应保证SL、SR悬空,S0,S1

输入为1,CLR端工作时应输入高电平,CLK端为上边沿有效,即当输入波形出现上边沿时,寄存器原样输出,反之,进行存储数据。

3. 由寄存器输出的两个二进制数送入九个与门中进行逐项相乘;

1 1 1

× 1 1 1

—————————————

1 1 1

1 1 1

+ 1 1 1

———————————————–

1 1 0 0 0 1

由超前进位全加器实现错位相加:

先将乘数的最低位与被乘数逐位相乘的结果输入全加器1中且输入顺序为 A4-0,A3-1,A2-1,A1-1;再将乘数的第二位与被乘数逐位相乘的结果输入同一个全加器中,且输入顺序为B4-1,B3-1,B2-1,B1-0;并将全加器运算后的输出结果由高位向低位排列后,最低位的二进制数直接输出,其余四位进位端输出的C4为新的二进制数的最高位,其次为S4,S3,S2,将新的二进制数输入全加器2中,输入顺序为C4-A4,S4-A3,S3-A2,S2-A1,将乘数最高位与被乘数逐项相乘后的结果输入全加器2中且输入顺序为B4-1,B3-1,B2-1, B1-0,得出最终运算结果。

4.将运算结果通过一个型号为74198的八位双向移位寄存器,最终以二进制形式显示在六个四线七段显像管上,显示最终结果。

6元器件清单

序号元件名称规格数量

1 四位双向移位寄存器74LS1942片

2 超前进位全加器74HC28

3 2片

3 八位双向移位寄存器74198 1个

4 显示器DCD_HEX 8片

5 优先编码器74148 2片

6 二输入与门7408J 9个

7 二输入非门404N 6个8信号发生器XFG11个9+5V电源VCC/VDD 3个 108bit开关排DSWPK—8 2个 11单刀开关SPST 1个

12 灯5V_1W 1个

13 导线若干

表6.1 元器件清单

7主要元器件介绍

7.1 双向移位寄存器74LS194

7.1.1 74LS194的功能介绍

当清除端(CLEAR)为低电平时,输出端(Q A—Q D)

均为低电平。

当工作方式控制端(SO、SI)均为高电平时,在时钟(CLOCK)上升沿作用下,并行数据(A—D)被送入相应的输出端Q A—Q D。此时串行数据(D SR、D SL)被禁止。

当SO为高电平、SI为低电平时,在CLOCK上升沿作用下进行右移操作,数据由D SR 送入。

当SO为低电平、SI为高电平时,在CLOCK上升沿作用下进行操作,数据由D SR送入。

当SO和SI均为低电平时,CLOCK被禁止。对于74LS194,只有当CLOCK为高电平时SO和SI才可改变。

7.1.274LS194的功能表

表7.1 74LS194功能表

7.2 超前进位全加器74HC283

7.2.1 74HC283的工作原理介绍

超前进位全加器74HC283芯片的工作原理与LS83的电气功能一样仅仅是管教的分布改变了。

这个改进型的全加器可完成两个4位二进制的加法。每一位都有和的输出,第四位为总位数。本超前进位全加器可对内部4为,进行全超前进位,在10ns(典型)之内产生进位项。这种能力给系统设计者在经济性上提供局部的超前性,且减少执行行波的封装数。

全加器的逻辑(包括进位)都采用原码形式。不需要逻辑或电平转换就可完成循环进位。4位超前进制二进制并行加法器74283,该器件为16条引脚的芯片。图中A4、A3、A2、A1和B4、B3、B2、B1为两组4位二进制加数;F4、F3、F2、F1为相加产生的4位“和”;C0为最第位的进位输入;C4为最高位的进位的进位输入。

二进制并行加法器除实现二进制加法运算外,还可以实现代码转换,二进制减法运算,二进制乘法运算、十进制加法运算等功能。

7.2.2 74HC283的功能表

表7.2 74HC283功能表

简要说明:超前进位全加器74HC283是一个将四位二进制数进行进位相加的一个加法芯片,它在整个乘法器电路中起着不可代替的作用。它的工作原理是在输入电平为高电平

时,芯片正常工作。既是要求高电平有效。

7.3编码器74148N

7.3.1 74148N的工作原理

用八个高、低电平信号控制输入信号,当不同脚输入不同高低电平可以输出不同二进制码,从而完成了从十进制到二进制的转换,具体工作原理与转换形式可以在逻辑电路和状态表中显示

7.3.2 74148N的逻辑电路

图7.3.1 74148的逻辑电路图

7.3.3 74148N的状态表

表7.3 74148N功能表

小结

经过一学期数字电子技术的学习,终于迎来了数字电子技术的课程设计,在短短一周的时间里,我用实践检验真知,不断地探索研究、潜心学习,并按时完成了课程设计的各项要求。在掌握课本知识的基础上,通过这次课程设计的学习,充分的锻炼了自己的动手能力,让我懂得了理论与实践相结合的重要性和必要性。

早在这学期的起初,自己便自学Orcad软件和Visio软件的使用方法和操作技巧,所以在本次课程设计期间能快速的上手,为自己省下了许多宝贵的时间。本次设计的题目是乘法器电路的设计,刚接过题目的时候,感觉自己学过的知识离自己比较遥远,无从下手,但这并没有难倒我,于是,我来到了学校图书馆,希望能从书本中的老师那里得到一些信息,打开自己的思路,试图从中能得到启发,找到自己的路子。经过不断地深思熟虑,弄懂乘法器的工作原理以后,发现这个课程设计并不是想象中的那么复杂和繁琐。根据逐项移位相加这个原理,自己便开始尝试设计乘法器的电路,把乘法器电路规划成四部分电路来完成,即乘法器的输入电路、乘法运算电路、结果显示电路和发挥部分电路。这样,被规划后的乘法器电路就更加具有条理性和系统性。乘法器的输入电路、结果显示电路和发挥部分电路比较简单,选择合适的器件按照对应的功能表接线便可以轻松地完成,乘法器的核心电路便是乘法运算电路,这也是本次设计的精髓之所在,根据逐项移位的原理不难想到在计算过程中需要有移位和的求和的操作,这就需要使用移位寄存器和超前进位加法器,既然有移位的操作就必然需要对结果进行检测,这又是一个需要注意的问题,本次设计采用两个与门、一个或门、一个超前进位加法器来解决对结果进行修正的问题。由于在设计中反复对某些器件进行推敲,对某些器件有了更深的理解,也能更合理的使用器件,同时对数字电子技术这门课程有了新的认知和新的学习以及思考的方法。

当乘法器电路的雏形设计出来以后,接下来就是对电路的测试了,好在由于是乘法运算,可以辨别电路的设计是否正确,只要带入两个数计算便可,测试通过后就是电路的细节处理,比如说对于移位寄存器将二进制数移位的时候,需要上升沿,这由谁来提供呢?于是,在发挥部分电路中设计一个由555定时芯片构成的多谐振荡器来提供其工作所需要的上升沿,然而,人们渴望探索的脚步是永不止步的,在结果显示电路中又添加了灭零功能,使结果显示得更醒目,更理想化。这样,原理图就设计完毕了。

在这次课程设计中,我切身体会到了学无止境这四个字的深刻含义。相信在以后的学习生活中,我都会更严格的要求自己,通过这次课程设计,使我对自己所学到的知识有了更进一步的认识和理解。通过亲手设计实践,充分的体会到了学习的乐趣。也同样学到了很多关于电子产品的知识。相信,没有什么事情比学有所用更让人高兴的了,所以,在以后的学习中,我会更加刻苦学习,使自己更好更出色,希望这也是我美好前程的开始。

致谢

这次的数字电子课程设计虽然只有短暂的一个星期,但是我们从中学到了很多有关数字电子的知识,这样不仅可以拓展我们的知识面,丰富我们的思维;而且还可以增强实际操作的能力。

在课程设计中,我遇到了很多的问题。在遇到问题和解决问题的过程中,我不但学到了知识,更重要的是当我遇到问题时,我不再束手无策,不知如何是好,而是找尽一切办法来解决它。

在我的这次课程设计中,我要感谢我的指导老师黄硕老师。他在指导我时,使我树立了远大的学术目标、掌握了基本的研究方法,用简介精炼易懂的话来解开我的谜团,他不仅在原理图尚未我详细指点,尤其在生成接线图时给了我们很大的帮助,使得我们顺利完成这次课程设计,我衷心的感谢她。

我还要感谢的就是我的组员,在我们设计原理图时,每个人都提出了不同的设计思路,也就使得我们有更多的方法,在我的设计中他们为我提出了宝贵的意见,同时我也给他们许多帮助,使得我们最后都顺利的完成,这就是团结的力量。我也衷心的感谢他们。

参考文献

[1] 尹雪飞,陈克安.集成电路速查大全.西安电子科技大学出版社,2002

[2] 余孟尝.数字电子技术基础(简明教程).高等教育出版社,2006

[3] 于增安,秦宏,赵忠厚,胡宁.电子技术实验.中国电力出版社,2007

[4] 魏海明,杨兴瑶.实用电子电路500例.化学工业出版社,1996

[5] 康华光,邹寿彬,秦臻.电子技术基础数字部分(第五版).高等教育出版社,2005

计组-4位乘法器实验报告

实验4位乘法器实验报告 姓名:X XX 学号:X XX 专业:计算机科学与技术课程名称:计算机组成同组学生姓名:无 实验时间:实验地点:指导老师:XXX 一、实验目的和要求 1.熟练掌握乘法器的工作原理和逻辑功能 二、实验内容和原理 实验内容: 根据课本上例3-7的原理,来实现4位移位乘法器的设计。 具体要求:1. 乘数和被乘数都是4位 2. 生成的乘积是8位的 3. 计算中涉及的所有数都是无符号数 4.需要设计重置功能 5.需要分步计算出结果(4位乘数的运算,需要四步算出结果) 实验原理: 1.乘法器原理图

2.本实验的要求: 1.需要设计按钮和相应开关,来增加乘数和被乘数 2.每按一下M13,给一个时钟,数码管的左边两位显示每一步的乘 积 3.4步计算出最终结果后,LED灯亮,按RESET重新开始计算 三、主要仪器设备 1.Spartan-III开发板1套 2.装有ISE的PC机1台 四、操作方法与实验步骤 实验步骤: 1.创建新的工程和新的源文件 2.编写verilog代码(top模块、display模块、乘法运算模块、去抖动模块以及 UCF引脚) 3.进行编译 4.进行Debug 工作,通过编译。

5.. 生成FPGA代码,下载到实验板上并调试,看是否与实现了预期功能 操作方法: TOP: module alu_top(clk, switch, o_seg, o_sel); input wire clk; input wire[4:0] switch; output wire [7:0] o_seg; // 只需七段显示数字,不用小数点 output wire [3:0] o_sel; // 4个数码管的位选 wire[15:0] disp_num; reg [15:0] i_r, i_s; wire [15:0] disp_code; wire o_zf; //zero detector initial begin i_r <= 16'h1122; //0x1122 i_s <= 16'h3344; //0x3344 end alu M1(i_r, i_s, switch[4:2], o_zf, disp_code); display M3(clk, disp_num, o_seg, o_sel); assign disp_num = switch[0]?disp_code:(switch[1] ? i_s : i_r); endmodule

模拟乘法器设计____模拟电路课程设计

乘法运算电路 1、课程设计的目的 模拟电子技术基础课程设计是学习模拟电子技术基础课程之后的实践教学环节。其目的是训练学生综合运用学过的模拟电子技术的基础知识。独立完成查找资料,选择方案,设计电路,撰写报告等工作。使学生进一步理解所学本课程的内容。并理论联系实际提高和培养学生的创新能力,为后续课程的学习毕业设计。毕业后的工作打下基础。 2、设计方案论证 理想模拟乘法器具备的条件:1.r i1和r i2为无穷大;2.r o为零; 3. k值不随信号幅值而变化,且不随频率而变化; 4.当u X或u Y为零时u o为零,电路没有失调电压、噪声。 由乘法电路的输出电压正比于其两个输入电压的乘积,即 u o = u I1u I2 求对数,得: 再求指数,得: 所以可以利用对数电路、求和电路和指数电路,得到乘法运算电路,其方块图1为: 对数电路 对数电路 u I1 u I2 ln u I1 ln u I2 求和电路 ln u I1+ ln u I2 指数电路

u O = u I1u I2 图1 乘法运算电路方块图 2.1 Multisim介绍 Multisim是加拿大图像交互技术公司(Interactive Image Technoligics 简称IIT公司)推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。它的前身为 EWB(Electronics Workbench)软件。它以界面形象直观、操作方便、分析功能强大、易学易用等突出优点,早在20世纪90年代初就在我国得到迅速推广,并作为电子类专业课程教学和实验的一种辅助手段。21世纪初,EWB 5.0更新换代推出EWB 6.0,并更名为Multisim 2001;2003年升级为Multisim 7.0;2005年发布Multisim 8.0时其功能已十分强大,能胜任电路分析、模拟电路、数字电路、高频电路、RF电路、电力电子及自动控制原理等个方面的虚拟仿真,并提供多达18种基本分析方法。 工程师们可以使用Multisim交互式地搭建电路原理图,并对电路行为进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。2.1.1破解版Multisim7安装方法注:电脑第一次安装Multisim7,须安装两遍;第二次及以后安装均会将跳过第一遍步骤,直接从第二遍步骤开始。第一遍安装步骤:(1)双击Multisim7破解版文件夹/双击Electronics Workbench MULTISMv7.0文件夹/Setup/Next/ 接受协议/Next安装DAO3.5。(2)第一遍安装结束,问是否现在重起计算机?选择“NO”/Finish。第二遍安装步骤:(1)仍双击Electronics Workbench MULTISMv7.0文件夹下的Setup/Next/接受协议/在Serial栏输入任意密码,Next/要求第二次输入密

计算机组成原理_阵列乘法器设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计课程设计题目:阵列乘法器的设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级: 学号: 姓名: 指导教师: 完成日期:2014年1月10日

沈阳航空航天大学课程设计报告 _______________________________________________________________________________ 目录 第1章总体设计方案 (1) 1.1设计原理 (1) 1.2设计思路 (2) 1.3设计环境 (3) 第2章详细设计方案 (3) 2.1总体方案的设计与实现 (4) 2.1.1总体方案的逻辑图 (4) 2.1.2器件的选择与引脚锁定 (4) 2.1.3编译、综合、适配 (5) 2.2功能模块的设计与实现 (5) 2.2.1一位全加器的设计与实现 (6) 2.2.2 4位输入端加法器的设计与实现 (7) 2.2.3 阵列乘法器的设计与实现 (10) 第3章硬件测试 (13) 3.1编程下载 (13) 3.2 硬件测试及结果分析 (13) 参考文献 (15) 附录(电路原理图) (16)

第1章总体设计方案 1.1 设计原理 阵列乘法器采用类似人工计算的方法进行乘法运算。人工计算方法是用乘数的每一位去乘被乘数,然后将每一位权值对应相加得出每一位的最终结果。如图1.1所示,用乘数的每一位直接去乘被乘数得到部分积并按位列为一行,每一行部分积末位与对应的乘数数位对齐,体现对应数位的权值。将各次部分积求和,即将各次部分积的对应数位求和即得到最终乘积的对应数位的权值。 为了进一步提高乘法的运算速度,可采用大规模的阵列乘法器来实现,阵列乘法器的乘数与被乘数都是二进制数。可以通过乘数从最后一位起一个一个和被乘数相与,自第二位起要依次向左移一位,形成一个阵列的形式。这就可将其看成一个全加的过程,将乘数某位与被乘数某位与完的结果加上乘数某位的下一位与被乘数某位的下一位与完的结果再加上前一列的进位进而得出每一位的结果,假设被乘数与乘数的位数均为4位二进制数,即m=n=4,A×B可用如下竖式算出,如图1.1所示。 X 4 X 3 X 2 X 1 =A × Y 4 Y 3 Y 2 Y 1 =B X 4Y 1 X 3 Y 1 X 2 Y 1 X 1 Y 1 X 4Y 2 X 3 Y 2 X 2 Y 2 X 1 Y 2 X 4Y 3 X 3 Y 3 X 2 Y 3 X 1 Y 3 (进位) X4Y4 X3Y4 X2Y4 X1Y4 Z 8 Z 7 Z 6 Z 5 Z 4 Z 3 Z 2 Z 1 图1.1 A×B计算竖式 X 4 ,X 3 ,X 2 ,X 1 ,Y 4 ,Y 3 ,Y 2 ,Y 1 为阵列乘法器的输入端,Z 1 -Z 8 为阵列乘法器 的输出端,该逻辑框图所要完成的功能是实现两个四位二进制既A(X)*B(Y)的 乘法运算,其计算结果为C(Z) (其中A(X)=X 4X 3 X 2 X 1 ,B(Y)=Y 4 Y 3 Y 2 Y 1 , C(Z)=Z 8Z 7 Z 6 Z 5 Z 4 Z 3 Z 2 Z 1 而且输入和输出结果均用二进制表示 )。阵列乘法器的总原 理如图1.2所示。

计算机组成原理阵列乘法器课程设计报告

. 课程设计

. 教学院计算机学院 课程名称计算机组成原理题目4位乘法整列设计专业计算机科学与技术班级2014级计本非师班姓名唐健峰 同组人员黄亚军 指导教师 2016 年10 月 5 日

1 课程设计概述 1.1 课设目的 计算机组成原理是计算机专业的核心专业基础课。课程设计属于设计型实验,不仅锻炼学生简单计算机系统的设计能力,而且通过进行设计及实现,进一步提高分析和解决问题的能力。 同时也巩固了我们对课本知识的掌握,加深了对知识的理解。在设计中我们发现问题,分析问题,到最终的解决问题。凝聚了我们对问题的思考,充分的锻炼了我们的动手能力、团队合作能力、分析解决问题的能力。 1.2 设计任务 设计一个4位的二进制乘法器: 输入信号:4位被乘数A(A1,A2,A3,A4), 4位乘数B(B1,B2,B3,B4), 输出信号:8位乘积q(q1,q2,q3,q4,q5,q6,q7,q8). 1.3 设计要求 根据理论课程所学的至少设计出简单计算机系统的总体方案,结合各单元实验积累和课堂上所学知识,选择适当芯片,设计简单的计算机系统。 (1)制定设计方案: 我们小组做的是4位阵列乘法器,4位阵列乘法器主要由求补器和阵列全加器组成。 (2)客观要求 要掌握电子逻辑学的基本内容能在设计时运用到本课程中,其次是要思维灵活遇到问题能找到合理的解决方案。小组成员要积极配合共同达到目的。

2 实验原理与环境 2.1 1.实验原理 计算机组成原理,数字逻辑,maxplus2是现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 用乘数的每一位去乘被乘数,然后将每一位权值直接去乘被乘数得到部分积,并按位列为一行每一行部分积末位与对应的乘数数位对齐,体现对应数位的权值,将各次部分积求和得到最终的对应数位的权值。 2.2 2.实验环境 2.2.1双击maxplu2II软件图标,启动软件 (1).新建工程,flie->new project ....,出现存储路径的选项框,指定项目保存路径并且为工程命名,第三行设置实体名,保持与工程名一致。点击OK

八位乘法器VHDL及功能模块说明

EDA课程设计报告 实验名称:八位乘法器

目录 一.引言 1.1 EDA技术的概念?? 1.2 EDA技术的特点?? 1.3 EDA设计流程?? 1.4 VHDL介绍?? 二.八位乘法器的设计要求与设计思路??2.1 设计目的?? 2.2 设计要求?? 三.八位乘法器的综合设计?? 3.1 八位乘法器功能?? 3.2 八位乘法器设计方案?? 3.3 八位乘法器实体设计?? 3.4 八位乘法器VHDL设计?? 3. 5八位乘法器仿真图形?? 心得体会?? 参考文献??

一、引言 1.1 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 1.2 EDA技术的特点 利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。 1.3 EDA设计流程 典型的EDA设计流程如下: 1、文本/原理图编辑与修改。首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。 2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。 3、综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。 4、行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。 5、适配。利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配臵、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。

乘法器课程设计

摘要:基于VHDL的数字系统设计具有设计技术齐全、方法灵活、支持广泛等优点,同时也是EDA技术的重要组成部分.文章用VHDL语言设计了左移法和进位节省法实现的两种组合乘法器,通过功能仿真,对两种乘法器的性能进行了比较,从而得知后者的传输延迟时间小,即速度较快.通过设计实例,介绍了利用VHDL语言进行数字系统设计的方法. 关键词:VHDL语言左移法进位节省法 Abstract:Digital system design based on VHDL has complete design techniques, methods, the advantages of flexible and wide support, at the same time also is the important component of the EDA technology. The article using VHDL language to design the left shift method and carry save method to realize the combination of two kinds of multiplier, through the function simulation, compares the performance of the two kinds of multiplier, which the latter's small transmission delay time, namely fast. Through the design example, introduced the method of using VHDL language to design digital system. Keywords:VHDL language ,left shift method ,carry save method

8位乘法器实验报告

6.2 8位乘法器的设计 1.实验目的 (1)熟悉isEXPERT/MAX+plusisEXPERT/MAX+plus II/Foudation Series 软件的基本使用方法。 (2)熟悉GW48-CK EDA实验开发系统的基本使用方法。 (3)学习VHDL基本逻辑电路的综合设计。 2.实验内容 设计并调试好由8位加法器构成的以时序逻辑方式设计的8位乘法器。此乘法器通过判断被乘数的位值为1还是零,并通过乘数的左移与上一次和相加的方法,实现了8位乘法的运算,并用GW48-CK EDA实验开发系统进行硬件验证。 3.实验条件 (1)开发设备:Lattice ispEXPERT。 (2)实验设备:GW48-CK EDA实验开发系统。 (3)拟用芯片:ispLSI1032E PLCC-84或EPF10K10LC84-3或XCS05/XL PLCC84以及运算控制电路和外部时钟。 4.实验设计 1)系统的原理框图

2)VHDL源程序 (1)选通与门模块的源程序ANDARITH.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ANDARITH IS PORT(ABIN: IN STD_LOGIC; DIN: IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUT: OUT STD_LOGIC_vector(7 DOWNTO 0)); END ENTITY ANDARITH; ARCHITECTURE ART OF ANDARITH IS BEGIN PROCESS(ABIN,DIN)IS BEGIN FOR I IN 0 TO 7 LOOP DOUT(I)<=DIN(I)AND ABIN; END LOOP; END PROCESS; END ARCHITECTURE ART; (2)16位锁存器的源程序REG16B.VHD LIBRARY IEEE;

模拟乘法器调幅AM、DSB、SSB实验报告

模拟乘法器调幅(AM、DSB、SSB)实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验十二模拟乘法器调幅(AM、DSB、SSB) 一、实验目的 1.掌握用集成模拟乘法器实现全载波调幅。抑止载波双边带调幅和单边带调幅的方法。 2.研究已调波与调制信号以及载波信号的关系。 3.掌握调幅系数的测量与计算方法。 4.通过实验对比全载波调幅、抑止载波双边带调幅和单边带调幅的波形。 5.了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 二、实验内容 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 4.实现单边带调幅。 三、实验原理 幅度调制就是载波的振幅(包络)随调制信号的参数变化而变化。本实验中载波是由晶体振荡产生的465KHz高频信号,1KHz的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 1.集成模拟乘法器的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分离器件如二极管和三极管要简单得多,而且性能优越。所以目前无线通信、广播电视等方面应用较多。集成模拟乘法器常见产品有BG314、F1596、MC1495、MC1496、LM1595、LM1596等。 (1)MC1496的内部结构 在本实验中采用集成模拟乘法器MC1496来完成调幅作用。MC1496是四象限模拟乘法器。其内部电路图和引脚图如图12-1所示。其中V1、V2与V3、V4组成双差分放大器,以反极性方 式相连接,而且两组差分对的恒流源V5与V6又组成一对差分电路,因此恒流源的控制电压可 图12-1 MC1496的内部电路及引脚图 正可负,以此实现了四象限工作。V7、V8为差分放大器V5与V6的恒流源。 (2)静态工作点的设定 1)静态偏置电压的设置

quartus II 软件做4的位乘法器设计(vhdl 语言)

用quartus II 软件设计4位乘法器 1. 并行乘法的算法: 下面根据乘法例题来分析这种算法,题中M4,M3,M2,M1是被乘数,用M表示。N4,N3,N2,N1是乘数,用N表示 2.乘法模块 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity and4a is Port(a:in std_logic_vector(3 downto 0); en:in std_logic; r:out std_logic_vector(3 downto 0)); End and4a; Architecture behave of and4a is Begin Process(en,a(3 downto 0)) Begin If (en='1') then r<=a; Else r<="0000"; End if; End process; End behave;

3.加法模块 Library ieee; Use ieee.std_logic_1164.all; Entity ls283 is Port (o1,o2:in std_logic_vector(3 downto 0); res:out std_logic_vector(4 downto 0)); End ls283; Architecture behave of ls283 is Begin Process(o1,o2) Begin res<=('0'&o1)+('0'&o2); End process; End behave;

模拟乘法器调幅(AM、DSB、SSB)实验报告

实验十二模拟乘法器调幅(AM、DSB、SSB) 一、实验目的 1.掌握用集成模拟乘法器实现全载波调幅。抑止载波双边带调幅和单边带调幅的方法。 2.研究已调波与调制信号以及载波信号的关系。 3.掌握调幅系数的测量与计算方法。 4.通过实验对比全载波调幅、抑止载波双边带调幅和单边带调幅的波形。 5.了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 二、实验内容 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 4.实现单边带调幅。 三、实验原理 幅度调制就是载波的振幅(包络)随调制信号的参数变化而变化。本实验中载波是由晶体振荡产生的465KHz高频信号,1KHz的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 1.集成模拟乘法器的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分离器件如二极管和三极管要简单得多,而且性能优越。所以目前无线通信、广播电视等方面应用较多。集成模拟乘法器常见产品有BG314、F1596、MC1495、MC1496、LM1595、LM1596等。 (1)MC1496的内部结构 在本实验中采用集成模拟乘法器MC1496来完成调幅作用。MC1496是四象限模拟乘法器。其内部电路图和引脚图如图12-1所示。其中V1、V2与V3、V4组成双差分放大器,以反极性方 式相连接,而且两组差分对的恒流源V5与V6又组成一对差分电路,因此恒流源的控制电压可 图12-1 MC1496的内部电路及引脚图 正可负,以此实现了四象限工作。V7、V8为差分放大器V5与V6的恒流源。 (2)静态工作点的设定 1)静态偏置电压的设置

模拟乘法器1496实验报告

实验课程名称:_高频电子线路

五.实验原理与电路设计仿真 1、集成模拟乘法器1496的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。所以目前在无线通信、广播电视等方面应用较多。集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。下面介绍MC1496集成模拟乘法器。 (1)MC1496的内部结构 MC1496 是目前常用的平衡调制/解调器。它的典型应用包括乘、除、平方、开方、倍频、调制、混频、检波、鉴相、鉴频、动态增益控制等。MC1496 的和内部电路与外部引脚图如图1(a)(b)所示。 (a)1496内部电路 (b)1496引脚图 图1 MC1496的内部电路及引脚图 它内部电路含有 8 个有源晶体管,引脚 8 与 10 接输入电压 VX、1与 4接另一输入电压VY,6 与12 接输出电压 VO。一个理想乘法器的输出为VO=KVXVY,而实际上输出存在着各种误差,其输出的关系为:VO=K(VX +VXOS)(VY+VYOS)+VZOX。为了得到好的精度,必须消除 VXOS、VYOS与 VZOX三项失调电压。引脚 2 与 3 之间需外接电阻,对差分放大器 T5与 T6产生交流负反馈,可调节乘法器的信号增益,扩展输入电压的线性动态范围。 各引脚功能如下: 1:SIG+ 信号输入正端 2: GADJ 增益调节端 3:GADJ 增益调节端 4: SIG- 信号输入负端 5:BIAS 偏置端 6: OUT+ 正电流输出端 7: NC 空脚 8: CAR+ 载波信号输入正端 9: NC 空脚 10: CAR- 载波信号输入负端11: NC 空脚 12: OUT- 负电流输出端 13: NC 空脚 14: V- 负电源 (2)Multisim建立MC1496电路模块 启动multisim11程序,Ctrl+N新建电路图文件,按照MC1496内部结构图,将元器件放到电子工作平台的电路窗口上,按住鼠标左键拖动,全部选中。被选择的电路部分由周围的方框标示,表示完成子电路的选择。为了能对子电路进行外部连接,需要对子电路添加输入/输出。单击Place / HB/SB Connecter 命令或使用Ctrl+I 快捷操作,屏幕上出现输入/输出符号,

移位相加型8位硬件乘法器设计

合肥学院 课程设计报告 题目:移位相加型8位硬件乘法器 系别:电子信息与电气工程系 专业:通信工程 班级: 13通信工程(1)班 学号: 姓名: 导师:石朝毅 成绩: 2016年 6 月 11 日

移位相加型8位硬件乘法器设计 摘要 本次设计是基于时序结构的8位移位相加型乘法器,使用软件QuartusII进行仿真设计。完成此乘法器,我们需要首先设计该乘法器的组件,包括REGSHT模块、SREG8BT模块、AND8B模块和ADDER8BT模块,并对所有元件进行仿真,无误后可进行乘法器的设计。设计方法使用的是元件例化,具体原理是通过逐项相加来实现乘法功能,最终完成整体的VHDL程序设计并仿真。 关键词:时序;乘法器;元件例化

目录 第一章前言............................................ 错误!未定义书签。设计概述............................................. 错误!未定义书签。 问题提出与原理..................................... 错误!未定义书签。 设计需要........................................... 错误!未定义书签。第二章设计过程及结果.................................. 错误!未定义书签。设计思路............................................. 错误!未定义书签。 设计须知........................................... 错误!未定义书签。 基本步骤........................................... 错误!未定义书签。设计代码及仿真....................................... 错误!未定义书签。 元件REGSHT设计代码及仿真结果...................... 错误!未定义书签。 元件SREG8BT设计代码及仿真结果..................... 错误!未定义书签。 元件AND8B设计代码及仿真结果....................... 错误!未定义书签。 元件ADDER8BT设计代码及仿真结果.................... 错误!未定义书签。 总模块设计代码及仿真结果........................... 错误!未定义书签。第三章总结............................................ 错误!未定义书签。致谢................................................... 错误!未定义书签。

实验三---集成乘法器幅度调制实验

实验三---集成乘法器幅度调制实验

高频实验报告实验名称:集成乘法器幅度调制实验 南京理工大学紫金学院电光系一、实验目的

a) 通过实验了解集成乘法器幅度调制的工作原理,验证普通调幅波(AM ) 和抑制载波双边带调幅波(AM SC DSB -/)的相关理论。 b) 掌握用集成模拟乘法器MC1496实现AM 和DSB-SC 的方法,并研究调制信 号、载波信号与已调波之间的关系。 c) 掌握在示波器上测量与调整调幅波特性的方法。 二、实验基本原理与电路 1.调幅信号的原理 (一) 普通调幅波(AM )(表达式、波形、频谱、功率) (1).普通调幅波(AM )的表达式、波形 设调制信号为单一频率的余弦波: t U u m Ω=ΩΩcos ,载波信号为 : t U u c cm c ωcos = 普通调幅波(AM )的表达式为AM u =t t U c AM ωcos )()cos 1(t m U a cm Ω+=t c ωcos 式中, a m 称为调幅系数或调幅度。 由于调幅系数a m 与调制电压的振幅成正比,即 m U Ω越大, a m 越大,调幅波 幅度变化越大, 一般 a m 小于或等于1。如果 a m >1,调幅波产生失真,这种情况称为过调幅。 未调制状态调制状态 m a Ucm ω0 Ω 图3-1 调幅波的波形 (2). 普通调幅波(AM )的频谱 普通调幅波(AM )的表达式展开得: t U m t U m t U u c cm a c cm a c cm AM )cos(2 1 )cos(21cos Ω-+Ω++ =ωωω 它由三个高频分量组成。将这三个频率分量用图画出,便可得到图

四川大学数电课程设计(四位二进制无符号数乘法器 ).

数字电子技术基础课程设计报告 学院电气信息学院 专业 姓名 学号 设计题目四位二进制无符号数乘法器

目录 1设计任务描述 (1) 1.1设计描述 (1) 1.2设计概述 (1) 2通用器件实现 (1) 2.1方案一与门和全加器组合逻辑电路 (1) 2.1.1设计思路 (1) 2.1.2仿真测试 (2) 2.1.3优缺点分析 (3) 2.2方案二多种通用集成芯片组合逻辑电路 (3) 2.2.1设计思路 (3) 2.2.2仿真测试 (5) 2.2.3优缺点分析 (7) 3使用硬件描述语言——Verilog实现 (7) 3.1设计目的 (7) 3.2设计要求 (7) 3.3硬件语言描述 (7) 3.4BASY2板结果附图 (9) 4结论与心得体会 (11) 4.1结论 (11) 4.2心得体会 (11)

1设计任务描述 1.1设计描述 设计一个乘法器,实现两个四位二进制数的乘法。两个二进制数分别是被乘数3210A A A A 和乘数3210B B B B 。被乘数和乘数这两个二进制数分别由高低电平给出。乘法运算的结果即乘积由电平指示灯显示的二进制数。做到保持乘积、输出乘积,即认为目的实现,结束运算。 1.2设计概述 4位二进制乘法器在实际中具有广泛应用。它是一些计算器的基本组成部分,其原理适用于很多计算器和大型计算机,它涉及到时序逻辑电路如何设计、分析和工作等方面。通过此电路更深刻的了解时序逻辑部件的工作原理,从而掌握如何根据需要设计满足要求的各种电路图,解决生活中的实际问题,将所学知识应用于实践中。 2通用器件实现 2.1方案一与门和全加器组合逻辑电路 2.1.1设计思路手动实现两个四位二进制乘法的计算,应为以下过程: 1 23456781 2341234111100011 10111010 0001 10110111 101C C C C C C C C A A A A B B B B 设乘数为1234A A A A (下标数字大则为高位),被乘数为1234B B B B ,使乘数从低位到高位依次与被乘数相乘,得到四个四位二进制加数,再依次对四个加数错位相加,得到八位的二进制的乘法运算结果。 依次算法,两个四进制乘数由8个单刀双掷开关接地(低电平0)和接5V(高电平1)进行输入,乘数A 从低位到高位依次与被乘数B 相乘过程可用二输入与门实现,共得到四个加数16个与运算结果,乘数最低位1A 与被乘数作与运算的四位结果的最低位即是乘法运算结果的最低位1C ;依次用三个四位全加器对四个加数进行全加运算,运算时输入两个四位二进制数,输入进位信号接地为0,低级的全加器的运算结果进位信号作为与下一个加数进行全加运算的被加数的最高位,四位全加运算结果的最低位作为输出结果,并从低到高位的依次输出432C C C 、、,最后一个全加器运算过后得到进位信号是八位二进制计算结果的最高位8C ,剩余的高三位输出分别为567C C C 、、,将8位输出结果直接在通过电阻到地保护的发光二极管表示。

乘法器的设计

物理与电子工程学院集成电路设计课程论文题目:乘法器的研究 学生姓名:XXX 指导教师:XXX 201X年XX月XX日

乘法器 摘要:乘法器,其基础就是加法器结构,它已经是现代计算机中必不可少的一部分。 乘法器的模型就是基于“移位和相加”的算法。本文讨论基本的阵列乘法器,以及产生部分 积和最终求和。 关键词:全加器,半加器,阵列。 引言: 乘法运算非常耗费硬件面积并且速度很慢,许多计算问题解决的快慢受乘法器电 路工作速度的约束,因此在现代高级的数字信号处理器和微处理器中都集成了硬件乘法单 元。并且乘法器在当今数字信号处理以及其他诸多应用领域中起着十分重要的作用。随着科 学技术的发展,许多研究人员已经开始试图设计一类拥有更高速率和低功耗,布局规律占用 面积小,集成度高的乘法器。这样,就能让它们更加适用于高速率,低功耗的大规模集成电 路的应用当中。通常的乘法计算方法是添加和位移的算法。在并行乘法器当中,相加的部分乘积的数量是主要的参数。它决定了乘法器的性能。为了减少相加的部分乘积的数量,修正 的Booth 算法是最常用的一类算法。但是,随着并行化的增多,大量的部分乘积和中间求和 的增加,会导致运行速度的下降。不规则的结构会增加硅板的面积,并且由于路由复杂而导 致中间连接过程的增多继而导致功耗的增大。另一方面串并行乘法器牺牲了运行速度来获得 更好的性能和功耗。因此,选择一款并行或串行乘法器实际上取决于它的应用性质。 主体 1.1.1二进制乘法定义 考虑两个无符号二进制数X 和Y ,X 为M 位宽,Y 为N 位宽,将它们用下列二进制数形 式表达 i 1 -M 0i i 2X X ∑== (1.1) j 1 -N 0j j 2Y Y ∑== (1.2) 其中i X 和j Y 为0或者1,那么X 和Y 的乘法运算定义如下 Z=X ×Y= k 1 -N M 0k k 2Z ∑+= =(i M i i X 210∑-=)(j 1-N 0j j 2Y ∑=)=∑∑=-=+???? ??1-M 0i 10j 2N j i j i Y X (1.3) 我们先来看一下手工是如何进行二进制乘法运算的。如图1-1所示,被乘数与乘数的第一个 位相乘(实际为“与”操作)产生积,并且根据乘数相应位的位置对部分积进行左移(例如, 被乘数与乘数的第0位相乘,则不移位;与第一位相乘,部分积左移1位,以此类推),最 终将所有的部分积相加得到乘法运算的结果。M 位被乘数与N 位乘数相乘得到的乘积是 M+N 位的。 1.1.2部分积生成

Booth乘法器实验报告

运算器部件实验:Booth乘法器 班级:软件工程 一、实验目的 理解并掌握乘法器的原理。 二、实验原理 Booth算法是一种十分有效的计算有符号数乘法的算法。算法的新型之处在于减法也可用于计算乘积。Booth发现加法和减法可以得到同样的结果。因为在当时移位比加法快得多,所以Booth发现了这个算法,Booth算法的关键在于把1分类为开始、中间、结束三种,如下图所示 当然一串0或者1的时候不操作,所以Booth算法可以归类为以下四种情况: Booth算法根据乘数的相邻2位来决定操作,第一步根据相邻2位的4中情况来进行加或减操作,第二部仍然是将积寄存器右移,算法描述如下: (1)根据当前为和其右边的位,做如下操作: 00: 0的中间,无任何操作; 01: 1的结束,将被乘数加到积的左半部分; 10:1的开始,积的左半部分减去被乘数; 11: 1的中间,无任何操作。 (2)将积寄存器右移1位。 因为Booth算法是有符号数的乘法,因此积寄存器移位的时候,为了保留符号位,进行算术右移。同时如果乘数或者被乘数为负数,则其输入为该数的补码,若积为负数,则输出结果同样为该数的补码。

三、实验步骤 (1)打开QuartusII (2)将子板上的JTAG端口和PC机的并行口用下载电缆连接,打开试验台电源。 (3)执行Tools→Programmer命令,将booth_multiplier.sof下载到FPGA 中。 (4)在实验台上通过模式开关选择FPGA-CPU独立调试模式010. (5)将开关CLKSEL拨到0,将短路子DZ3短接且短路子DZ4断开,使FPGA-CPU 所需要的时钟使用正单脉冲时钟。 四、实验现象 五、具体代码实现 端口声明: port ( clk: in std_logic; md : in std_logic_vector(3 downto 0); mr : in std_logic_vector(3 downto 0);

根据模拟乘法器芯片MC1496的调幅与检波电路设计与实现

HUNAN UNIVERSITY 工程训练报告 题目:基于模拟乘法器芯片MC1496 的调幅与检波电路设计与实现 学生姓名:秦雨晨 学生学号:20110803305 专业班级:通信工程1103

指导老师(签名): 二〇一四年九月十五日

目录 1 项目概述---------------------------------------------------------2 1.1引言---------------------------------------------------------2 1.1 项目简介----------------------------------------------------2 1.2 任务及要求--------------------------------------------------2 1.3 项目运行环境------------------------------------------------3 2 相关介绍--------------------------------------------------------3 3 项目实施过程----------------------------------------------------5 3.1 项目原理---------------------------------------------------5 3.2 项目设计内容------------------------------------------------9 3.2.1 调幅电路仿真--------------------------------------------9 3.2.2 检波电路仿真-------------------------------------------12 4 结果分析-------------------------------------------------------14 4.1调幅电路---------------------------------------------------14 4.2 检波电路---------------------------------------------------18 5 项目总结-------------------------------------------------------21 6 参考文献-------------------------------------------------------22 7 附录--------------------------------------------------------23

EDA课程设计报告_-_5位整数乘法器设计

有符号5位整数乘法器设计与制作 1.课程设计的性质、目的和任务 (1) 2.题目要求 (1) 3.设计步骤 (2) 3.1整体原理框图: (2) 3.2乘法器整体电路原理图: (2) 3.3输入模块: (2) 3.4运算模块: (3) 3.5显示控制模块: (6) 3.6显示模块: (7) 4.整体仿真 (12) 5.调试中遇到的问题及解决的方法 ........................................ 错误!未定义书签。 6.心得体会................................................................................... 错误!未定义书签。 7.建议:....................................................................................... 错误!未定义书签。 1.课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 2.题目要求 设计一个两个5位数相乘的乘法器。用发光二极管显示输入数值,用7段显示器显示十进制结果。乘数和被乘数分两次输入。在输入乘数和被乘数时,要求显示十进制输入数据。输入显示和计算结果显示,采用分时显示方式进行,可参见计算器的显示功能

相关文档
最新文档