数字电子技术基础习题及答案 (2)

数字电子技术基础习题及答案 (2)
数字电子技术基础习题及答案 (2)

数字电子技术试卷(1)

一.填空(16)

1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。

2.100001100001是8421BCD 码,其十进制为 861 。

3.逻辑代数的三种基本运算是 与 , 或 和 非 。

4.三态门的工作状态是 0 , 1 , 高阻 。

5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。

6.施密特触发器的主要应用是 波形的整形 。

7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。

8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14)

1.用公式法化简-

-

+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=-

2.用卡诺图化简∑∑=

m

d

D C B A Y ),,,,()+,,,,

(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15)

解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。

五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q

n +=-

+1

,(2)、A Q

n =+1

六.试用触发器和门电路设计一个同步的五进制计数器。(15)

七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作波形,并求出振荡频率。(15)

数字电子技术试卷(2)

二.填空(16)

1.十进制数35.85的二进制数是 ;十六进制数是 。

2.逻辑代数中逻辑变量得取值为 0、1 。

3.组合逻辑电路的输出状态只与 当前输入 有关而与电路 原状态无关 。

4.三态门的输出有0、1、高阻 ,三种状态,当多个三态门的输出端连在一根总线上使用时,应注意 只能有1个三态门被选通。 。

5.触发器的基本性质有 有两个稳态,在触发信号作用下状态可相互转变,有记忆功能

6.单稳态触发器的主要应用是 延时 。

7.设6位D/A 转换器的满度输出电压位6.3伏,则输入数字量为110111,输出模拟电压为 。

8.一个8K 字节的EPROM 芯片,它的地址输入端的个数是 13 。 三.化简逻辑函数(14)

1.用公式法化简-

-

-

+++++=C B BD ABC D BC ABD D ABC Y ,化为最简与或表达式。

2.用卡诺图化简∑∑=m

d

D C B A Y )

()+(15,10,5,014,11,8,7,3,2),,,(,化为最简与或表达式。

四.设计一个8421码的检码电路。要求当输入大于等于3、小于等于7时电路输出为1,否则电路输出为0。要求列出真值表,写出逻辑函数式,画出逻辑图。(15)

五.触发器电路如图1(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)。(15)

六.分析图2电路实现何种逻辑功能,其中X 是控制端,对X =0和X =1分别分析,设初态为1,112==Q Q 。(要求写出电路方程,列出状态转换表或图,说明其逻辑功能,并说明电路能否自启动)(15)

七.试用8选1数据选择器和74LS161芯片设计序列信号发生器。芯片引脚图如图3所示,序列信号为11001101(左位为先)。(15)

数字电子技术试卷(3)

三.填空(16)

1.十进制数86的二进制数是 ;8421BCD 码是 。

2.在Y=AB+CD 的真值表中,Y =1的状态有 个。

3.4位二进制数码可以编成 个代码,用这些代码表示0~9十进制输的十个数码,必须去掉 代码。

4.描述触发器逻辑功能的方法有 。

5.若Q =1,J=0,K=1,则=+1

n Q 。 。

6.设ROM 地址为70~A A ,输出为30~Y Y ,则ROM 的容量为

7.一个8位二进制D/A 转换器的分辨率为0.025,则输入数字量为11010011时,输出模拟电压为 。 8. 和 是衡量A/D 、D/A 转换器性能优劣的主要指标。 三.化简逻辑函数(14)

1.用公式法化简C B BC BC A BCD A A Y -

-

++++=____

,化为最简与或表达式。 2.用卡诺图化简∑∑=m

d

D C B A Y )

()+(11,10,9,3,2,113,8,6,4,0),,,(,化为最简与或表达式。

四.由双4选1数据选择器组成的电路如图1所示,①写出21,Y Y 的表达式。②列出21,Y Y 的真值表。(15)

五.某室由3台计算机工作站,请用红、黄、绿3种指示灯设计一个监视电路,要求:3台计算机正常工作时,绿灯亮;只一台出故障时黄灯亮;有两台出故障时,红灯亮;若3台计算机同时出故障时,则黄灯和红灯都亮。试用门电路设计。要求:列出真值表,写出逻辑函数式,画出逻辑电路图。(15) 六.触发器电路及输入波形如图2所示,要求:写出电路方程,画出21,Q Q 与Y 的对应波形。(设21,Q Q 的初态为11)(15)

七.试用中规模集成十六进制计数器74LS161芯片设计一个十三进制计数器,要求必须包括0000和1111状态,利用C 端左进位输出。芯片引脚图如图3所示。(15)

数字电子技术试卷(4)

四.填空(16)

1.十进制数3.5的二进制数是 ;8421BCD 码是

2.在()B A A Y ⊕=的结果是 。

3.D 触发器的状态方程为 ,如果用D 触发器来实现T 触发器的功能,则T 、D 间的关系为 。

4.一个64选1的数据选择器,它的选择控制端有 个。

5.6位D/A 转换器满度输出电压为10伏,输入数字为001010时对应的输出模拟电压为

伏。

6.一片64K ×8存储容量的只读存储器ROM ,有 条地址线,有

条数据线。

7. 由555定时器构成的单稳态触发器,输出脉宽≈W T 。

8.

是衡量A/D 、D/A 转换器性能优劣的主要指标。

五.回答问题(10)

1.已知XY=XZ ,则Y=Z ,正确吗?为什么?

2.已知X+Y=XY ,则X=Y ,正确吗?为什么?

三.化简逻辑函数(14)

1.用公式法化简C B AC C B A Y +++=-

--,化为最简与或表达式。

2.用卡诺图化简∑∑=m

d

D C B A Y )

()+(11,5,4,3,210,8,1,0),,,(,化为最简与或表达式。

四.分析图1所示电路,要求列出21,Y Y 的逻辑表达式和真值表,并说出电路的逻辑功能指出输入变量和输出函数的含义。(15)

五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15)

六.试用D 触发器及少量门器件设计,状态转换图为①→②→③模为3的同步计数器。要求有设计过程。(15)

七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作波形,并求出振荡频率。(15)

数字电子技术试卷5

一.选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每

小题2分,共20分)

1. 将十进制数(3.5)10转换成二进制数是 ( ) ① 11.11 ② 10.11 ③ 10.01 ④ 11.10

2. 三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( ) ① m2 ② m5 ③ m3 ④ m7

3.一片64k ×8存储容量的只读存储器(ROM ),有 ( ) ①64条地址线和8条数据线 ②64条地址线和16条数据线 ③16条地址线和8条数据线 ④16条地址线和16条数据线

4. 在ADC 工作过程中,包括保持a ,采样b ,编码c ,量化d 四个过程,他们先后顺序应该是 [ ]

① abcd ② bcda ③ cbad ④ badc

5.以下各种ADC 中,转换速度最慢的是 ( ) ① 并联比较型 ② 逐次逼进型

③双积分型④以上各型速度相同

6. 一个时钟占空比为1:4,则一个周期内高低电平持续时间之比为( )

①1:3 ②1:4

③1:5 ④1:6

7. 当三态门输出高阻状态时,输出电阻为( )

①无穷大②约100欧姆

③无穷小④约10欧姆

8.通常DAC中的输出端运算放大器作用是( )

①倒相②放大

③积分④求和

9. 16个触发器构成计数器,该计数器可能的最大计数模值是( )

①16 ②32

③162④216

10.一个64选1的数据选择器有()个选择控制信号输入端。( )

①6 ②16

③32 ④64

二.判断题(20分)

1.两个二进制数相加,并加上来自高位的进位,称为全加,所用的电路为全加器()2.三态门输出为高阻时,其输出线上电压为高电平()

3.前进位加法器比串行进位加法器速度慢()

4.译码器哪个输出信号有效取决于译码器的地址输入信号()

5.五进制计数器的有效状态为五个()

6.施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。()7.当时序逻辑电路存在无效循环时该电路不能自启动()

8.RS触发器、JK触发器均具有状态翻转功能()

9.D/A的含义是模数转换()

10.构成一个7进制计数器需要3个触发器()

三、简答题(每小题5分,共10分) 1.用基本公式和定理证明下列等式: ()ABC BC A C AB B C AB ++=+。

2请写出RS 、JK 触发器的状态转移方程,并解释为什么有的触发器有约束方程。 四.用卡诺图化简以下逻辑函数 (每小题5分,共10分) 1.D C A C B A D C D C A ABD ABC Y ++?+++=

2.()D C A C B A B A D C Y ?++⊕=,给定约束条件为AB +CD =0

五.一个组合电路具有3个输入端A,B,C ,一个输出端Y ,其输入和输出波形如图1所示,使用或非门设计电路。(15分) 六.8选1数据选择器CC4512的逻辑功能如表4.1所示。试写出图2所示电路输出端Y 的最简与或形式的表达式。(10分)

七.如图3所示电路的计数长度N 是多少?能自启动吗?画出状态转换图。(15分)

数字电子技术试卷(06)

一、数制转换(12)

1、(10010111)2=()16=()102、(8C)16=()2=()10

3、(127 )10=()2=()16

4、(110101.11)8=()16

5、(-1101B)原码=()反码=( )补码

二、选择填空题(12)

1)、以下的说法中,——是正确的。

a) 一个逻辑函数全部最小项之和恒等于0

b)一个逻辑函数全部最大项之和恒等于0

c)一个逻辑函数全部最大项之积恒等于1

d )一个逻辑函数全部最大项之积恒等于0 2)、若将一个TTL异或门(输入端为A、B)当作反相器使用,则A、B端应——连接。

a )A或B有一个接1

b )A或B有一个接0

c )A和B并联使用

d )不能实现 3)、已知R、S是或非门构成的基本RS触发器的输入端,则约束条件为——。

a )RS=0

b )R+S=1

c )RS=1

d )R+S=0 4)、用8级触发器可以记忆——种不同的状态。

a )8

b )16

c )128

d )256 5)、由3级触发器构成的环形和扭环形计数器的计数模值依次为——。

a )8和8

b )6和3

c )6和8

d )3和6

三、用卡诺图化简法将下列逻辑函数化为最简与或形式(12) (1)、D C A D C A C B A D C ABD ABC Y +++++= (2)、D

C B A

D C B A D C A Y ++++=,给定约束条件为:

0=+++++ABCD D ABC D C AB D C AB CD B A D C B A

四、证明下列逻辑恒等式(方法不限)(12) (1)、1))(()(=+++++C B D B A C B D C C B A (2)D C A D C B A D AC D C B D C A ⊕+=+++⊕+)(

五、设计一位二进制全减器逻辑电路。(D=A-B-CI,A:被减数,B:减数,CI:

借位输入,D:差,另有CO:借位输出)(16)

六、分析如下时序电路的逻辑功能。FF1、FF2和FF3是三个主从结构的JK触发器,下降沿动作,输入端悬空时和逻辑1状态等效。(20)

七、如图所示,用555定时器接成的施密特触发器电路中,试求:(16)

(1)当VCC=12V,而且没有外接控制电压时,VT+、VT-及ΔVT值。(2)当VCC=9V,外接控制电压VCO=5V时,VT+、VT-及ΔVT各为多少。

数字电子技术试卷(07)

二、数制转换(12)

1、(1101101)2=()16=()10

2、(3D.BE)16=()2=()10

3、(25.7)10=()2=()16

4、(010110000111)8421BCD=()8

5、(-00101B)原码=( )反码=( )补码

二、选择填空题(12) 1)、以下的说法中,——是正确的。

a) 一个逻辑函数全部最小项之和恒等于0 b )一个逻辑函数全部最大项之和恒等于0 c )一个逻辑函数全部最大项之积恒等于1 d )一个逻辑函数全部最大项之积恒等于0 2)、已知R、S是与非门构成的基本RS触发器的输入端,则约束条件为——。

a )R+S=1

b )R+S=0

c )RS=1

d )RS=0 3)、若JK触发器的原状态为0,欲在CP作用后仍保持为0状态,则激励函数JK的值应是——。

a )J=1,K=1

b )J=0,K=0

c ) J=0,K=Χ

d )J=Χ,K=Χ 4)、同步计数器是指——的计数器。

a )由同类型的触发器构成。

b )各触发器时钟端连在一起,统一由系统时钟控制。

c )可用前级的输出做后级触发器的时钟。

d )可用后级的输出做前级触发器的时钟。 5)、同步四位二进制计数器的借位方程是B=1234Q Q Q Q ,则可知B的周期和正脉冲宽度为——。

a )16个CP周期和2个CP周期。

b )16个CP周期和1个CP周期。

c )8个CP周期和8个CP周期。

d )8个CP周期和4个CP周期。

三、用卡诺图化简法将下列逻辑函数化为最简与或形式(12) (1)、D C BC C A B A Y +++=

(2)、Y (A,B,C,D)=Σ(m 3,m 5,m 6,m 7,m 10),给定约束条件

为:m 0+m 1+m 2+m 4+m 8=0

六、证明下列逻辑恒等式(方法不限)(12) (1)、C B AB D B D B C A +=+++))()((

(2)、D B D B C A C A D C B A ABCD D C B A D C B A +++=+++

五、分析下图所示电路中当A 、B、C、D单独一个改变状态时是否存在竞争-冒险现象?如果存在,那么都发生在其它变量为何种取值的情况下?(16)

A

B

C

D

Y

&

&

&

&

&

六、分析如下时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图(20)。

七、如图所示,用CMOS反相器组成的施密特触发器电路中,若R1=50KΩ,R2=100KΩ,VDD=5V,VTH=VDD/2,试求电路的输入转换电平VT+、VT-以及回差电压ΔVT。(16)

数字电子技术试卷(08)

三、数制转换(10):

1、(11.001)2=()16=()10

2、(8F.FF)16=()2=()10

3、(25.7)10=()2=()16

4、(+1011B)原码=()反码=( )补码

5、(-101010B)原码=( )反码=( )补码

二、选择填空题(10) 1)、主从JK触发器是——。

a )在CP上升沿触发

b )在CP下降沿触发

c )在CP=1稳态触发

d )与CP无关 2)、T触发器的特性方程是——。

a )n n n Q T TQ Q +=+1

b )n n Q T Q =+1

c )n n n Q T Q T Q +=+1 d) n n Q T Q

=+1

3)、用8级触发器可以记忆——种不同的状态。

a )8

b )16

c )128

d )256 4)、存在约束条件的触发器是——。

a )基本RS触发器

b )D 锁存器

c )JK触发器

d )D触发器

5)、构成模值为256的二进制计数器,需要——级触发器。

a )2

b )128

c )8

d )256

三、判断题:判断下列说法是否正确,正确的打“√”,错误的打“Χ”。(10) 1)、1001个“1”连续异或的结果是1。 ( ) 2)、已知逻辑A+B=A+C,则B=C。 ( ) 3)、已知逻辑AB=AC,则B=C。 ( ) 4)、函数F连续取100次对偶,F不变。 ( ) 5)、正“与非”门也就是负“或非”门。 ( )

四、用卡诺图化简法将下列逻辑函数化为最简与或形式(10) (1)、C B AC B A Y ++=

(2)、D C A C B A B A D C Y ++⊕=)(,给定约束条件为:AB+CD=0

五、证明下列逻辑恒等式(方法不限)(15) (1)、B A B A B B A +=++

(2)、1))(()(=+++++C B D B A C B D C C B A

(3)、D B D B C A C A D C B A ABCD D C B A D C B A +++=+++

六、试画出用3线-—8线译码器74LS138和门电路产生如下多输出逻辑函数的逻辑电路图。(74LS138:输入A2、A1、A0;输出7Y …0Y )(15)

Y=

AC

1

Y+

+

=

A

B

BC

B

C

C

A

2

Y+

B

=

AB

C

C

3

七、分析如下时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。(20)

八、试叙述施密特触发器的工作特点及主要用途。(10)

数字电子技术试卷(09)

四、数制转换(10):

1、(1.01011111)2=()16=()10

2、(10.00)16=()2=()10

3、(0.39)10=()2=()16

4、(+00110B)原码=()反码=( )补码

5、(-1101B)原码=()反码=( )补码

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础第五版

数字电子技术基础第五版习题解答: 本书是为配合清华大学电子学教研组编、阎石主编的《数字电子技术基础》(第五版)教材的使用而编写的习题解答。书中除包含有《数字电子技术基础》(第五版)全部习题的详细解答以外,还含有各章习题的分类以及每种类型题目的解题方法和步骤等内容。 数字电子技术基础(第5版): 数字电子技术基础(第5版)》是2006年高等教育出版社出版的图书,作者是阎石、清华大学电子学教研组。 内容简介: 本书是普通高等教育“十五”国家级规划教材。本书以前各版曾分别获得北京市教育教学成果一等奖、国家教委优秀教材一等奖、国家级优秀教材奖。 新版教材是在基本保持第四版教材内容、理论体系和风格的基础上,按照教育部2004年修订的“数字电子技术基础课程教学基本要求”修订而成的。本次修订除改写了部分章节外,还增加了硬件描述语言和EDA软件应用的基础知识。此外,还在多数小节后面增设了复习思考题。为了便于教学,也为了便于读者今后阅读外文教材和使用外文版的EDA软件,书中采用了国际上流行的图形逻辑符号。 全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。

本书可作为电气信息类、仪器仪表类各专业的教科书,也可供其他相关理工科专业选用以及社会选者阅读。 作者简介: 阎石,清华大学教授、全国高等学校电子技术研究会理事长。1937年生人。1958年毕业于清华大学自动控制系,其后一直在清华大学从事电子技术的教学与科研工作。曾任国家教委工科本科基础课程教学指导委员会第一、二届委员,华北地区高等学校电子技术教学研究会理事长。1989年与童诗白教授等一起获得普通高等学校优秀教学成果国家级特等奖。主编的《数字电子技术基础》第二版获国家教委优秀教材一等奖,第三版获国家优秀教材奖,第四版获北京市教育教学成果一等奖。 主要著作有:《数字电子技术基础》第一、二、三、四版,高等教育出版社分别于1981年、1984年、1989年、1998年出版;《电子技术基础学习指导》,辽宁科技出版社,1985年出版;《数字电子电路》,中央电大出版社,1993年出版;《数字电子技术荩础(第四版)教师手册》,高等教育出版社,2003年出版;《帮你学数字电子技术基础》,高等教育出版社,2004年出版。

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

最新数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

相关文档
最新文档