两级开环比较器的设计

两级开环比较器的设计
两级开环比较器的设计

初级比较器设计

比较器的电路符号如右图所示,它的功能是比较输入端的信号

差异,输出以之对应的数值上离散的两种信号之一,当Vin+>Vin-

时,比较器输出为高电平(Voh);当Vin+

(Vol);

比较器广1泛用于模拟电路和数字电路的接口部分即连续和离散

的交接部分。

1.比较器静态特性

(1)理想比较器模型理想比较器的电路模型如下图所示:

C 理想比较器模型

它的传输曲线如下图所示:

A Vo

Vo h

Vin+ -Vin-

传输曲线可以用数学函数表示如下:

本文主要包括:(1)分析说明比较器工作原理; 器的

HSPICE莫拟;(4)比较器物理版图设计实现;

(1)

(2)比较器的设计计算方法;

(5)设计文件列表说明。

(3)比较

.比较器的原理简述

比较器电路符号

理想比较器的传输曲线

Vo l

B

2

f

八,V

)

V oh ,when(V n V n ) 0

T

o (V in

V

in ) - --- - TT ; - —: TT

V ol ,when(V n V n ) 0

A V oh V ol

V ih V il

(2)有限增益比较器模型

有限增益比较器的电路模型如下图所示:

有限增益比较器模型

它的传输曲线如下图所示:

传输曲线可以用数学函数表示如下:

f l (V in V in ) 1 rV oh,when(V in V in ) V ih

]A (V in

V in ), whenV il M % )

V,

1

V ol,,when(V in

V in ) V^

A

Voh Vo1

,为一个有限值

V ih V il

(3)包含输入失调电压的比较器

包含输入失调电压比较器电路模型如下图所示:

2

2

3 4

2

包含输入失调电压比较器模型

它的传输曲线如下图所示:

包含输入失调电压比较器的传输曲线

其中的Vos 为输入失调电压,它被定义为:实际比较器输出电压为零时, 输入端所加的电压, 它是比较器的一个重要参数,跟比较器的精度有密切的关系,而且它的温漂很难补偿。 (4 )比较器其他的静态特性

差分输入电阻和电容,输出电阻,共模输入电阻, 关联的噪声。这些和运放很相似,可以同样建模。 2 .单极点简单比较器动态特性

比较器动态特性中的重要特性之一为传输时延, 励和输出转换之间的时延,如下图所示:

共模输入电压范围,还有和过度区特性相

定义比较器的时延为: 比较器的输入激

(1)小信号时延

假设比较器的最小输入电压差为比较器的精度,定义比较器的最小输入电压为:

A (s)A v(0) A v(0)

代(s)——— ------- -

s

1 s c 1

W c

那么,我们下面就可以分析比较器的时延:

根据时延的定义和A v(S),进行拉普拉斯逆变换,得到输入为阶跃信号V inmin的时域响应如

下式:

V oh V ol A /c\r/i tp/C-, . zc\r/i tp/ c V oh V ol

F 代㈣1 e ]V inmin A v(0)[1 e ]冇

tP max cln(2) 0.693 c

同理可以得到更为普遍的结果:当输入激励信号为阶跃信号kV jnmin ,相应得输出响应时延为:

2k

tp cin(二,显然在线性响应的情况下,增大k可以有效的减小时延tp。对应的关

2k 1

系可以表示如下图:

(2)大信号时延

大信号情况下,时

的传输时延为:

Voh V

O1,出现摆率限制时,

2SR Titl e

dV 1 dQ 丄charge/discharge

dt C dt

Size

B

Date:

File:

Nu mber Rev isio n

2 9-Ju n -2 0 06

C:\Do cu men ts an d Settin gs \y oy o 桌面\TEM PDWQQ\sByoc_ cou rse_desg in_homewo rk\soc_ cou

Sheet o f

V in min

V oh V ol

A v(0),其中代(0)为比较器的低频增益。

假设我们分析的比较器为最简单的单极点模型,它的s域增益表示如下:

如果对电容的充放电决定了电路的响应时间, 则出现摆率限制的情形,这

V tp T SR

一般有:SR

4 5 6

因此,在摆率响应的情况下,增大对电容的充 /放电电流可以减小比较器的时延。

4

2I 7

三.比较器的设计

比较器的传输时延始终是我们关注的一个重点指标, 计时常常引用的公式。

1 .两级开环比较器的线性响应设计

NMOS 输入管两极开环比较器的电路拓扑结构如下图所示:

NMC 输入两级比较器

显然,

以下侧重分析时延的限制因素和设 [Vb iaS

M1

NMOS4

M5

NMOS4

M6

P MOS4

M2

NMOS4

M7

NMOS4

Vss

第一级:简单CMOS OTA

第二级:

恒流源负载共源放大级

(1 )考察输出电压的两个极限: 当M6管处于饱和区与线性区的临界点时:

1

I

6

[( V

Gs J

M TP

I )

—|V

DS6 ]

|V

DS6

I

D6

I 7

Title

V DS 6

(V

DD g 6MIN

V TP

I )[1

j

2I 7

(V

DD

V

G6MIN V

Tp h

V

oh

V

DD

V

DS6

V

DD (V DD V

G6MIN

V

TP

)[1

Size

A4

Date: File:

Nu mber

30-Ju n -2006 C:\Do cuments an

Sheet o d Sett in gs\wa n g q

桌面TEMP

旦WWQB

4

6

(V

DD

V

G6MIN

V ol

V s

V gs1

V

(2)两级比较器的特性

A 部分

.第一级简单CMOS OTA 特性

由以上的电路原理图可以得到第一级简单

第一级:简单COMS OTA 信号等效电路

其中:

1

r n4 rds1//rds3//

gm3

g m1* Vg s1

g m2* Vg s2 +gm4 * Vgs 4

COMS OTA 的小信号等效电路如下图所示:

1 gm3

4节点对地电容 Cn4,

5节点对地电阻 &5 rds2//rds4

5节点对地电容

5节点和4节点间的电容 Cn54,

根据基尔霍夫电压环路(KVL )和电流节点 组并解得: Cn5,

(KCL 定理,可以得到该小信号等效电路的方程

代(s)

grW

gm4rn4)

rn51 sCn4m 4

心g m4m 4

)(由于密勒效应的作用C “ (1 sCn5rn5)(1

sCn4rn 4)

n54可

以忽略),其中,代(0)

gm1(1 gm4m4)m5

,,、

- ---- ---- ---------- Tt p 为低频增益,由于求

A v (s)的过程比较繁琐,

A v (0)(求解A ;e (s)同理),N 求解低频增益时可以认为小信号等

A4

效电路中的所有电容为

0,于是可以得到如下的方程组:

(g m2V gs2 g m4V 3s4)r n5

刊°:

g

m1V gs1r

n4

这里仅仅说明一下如何求解 Rev

V out V gs4

g

m1

V gs2

g m2 V gs1

3 0-Ju n -2 0 06

Sheet o f

C:\Do cu men ts an d Sett in gs \wan g q

桌面\TE MDrPwrWQQ\s :

oc_ cou

4

4节点对地电阻

常用运放电路及其各类比较器电路

常用运放电路及其各类比较器电路

————————————————————————————————作者:————————————————————————————————日期:

彭发喜,制作 同相放大电路: 运算放大器的同相输入端加输入信号,反向输入端加来自输出的负反馈信号,则为同相放大器。 图是同相放大器电路图。 因为e1=e2,所以输入电流极小,输入阻抗极高。 如果运算放大器的输入偏置电流,则 e1=e2 放大倍数: 原理图:

反相比例运算放大电路图: 1号图: 2号图: 反相输入放大电路如图1所示,信号电压通过电阻R1加至运放的反相输入端,输出电压vo通过反馈电阻Rf反馈到运放的反相输入端,构成电压并联负反馈放大电路。R ¢为平衡电阻应满足R ¢= R1//Rf。 利用虚短和虚断的概念进行分析,vI=0,vN=0,iI=0,则 即

∴ 该电路实现反相比例运算。 反相放大电路有如下特点 1.运放两个输入端电压相等并等于0,故没有共模输入信号,这样对运放的共模抑制比没有特殊要求。 2.vN= vP,而vP=0,反相端N没有真正接地,故称虚地点。 3.电路在深度负反馈条件下,电路的输入电阻为R1,输出电阻近似为零。 运算放大器减法电路原理: 图为运放减法电路 由e1输入的信号,放大倍数为R3/R1,并与输出端e0相位相反,所以 由e2输入的信号,放大倍数为 与输出端e0相位相,所以

当R1=R2=R3=R4时e0=e2-e1 加法运算放大器电路: 加法运算放大器电路包含有反相加法电路和同相加法电路. 同相加法电路:由LF155组成。 三个输入信号同时加到运放同相端,其输入输出电压关系式:

一位数据比较器电路的设计 (2)

新疆大学 课程设计报告 所属院系:电气工程学院 专业:电气工程 课程名称:电子技术B课程设计 设计题目:一位数据比较器电路的设计 班级:电气班 学生姓名: 学生学号: 指导老师: 完成日期:2014.01.13 —2014.01.20

一位数据比较器的电路设计 1.设计目的 (1)了解EDA技术的发展及应用 (2)掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计(3)学习MAX+PLUSⅡ软件的应用方法 (4)应用EDA技术的设计方法完成4位右移移位寄存器的设计(采用原理图和文本法两种方法实现),并在MAX+PLUSⅡ上仿真 2.关于MAX+PlusⅡ的使用与仿真 2.1 MAX+plus2软件简介 MAX+plusII是Altera公司提供的一个集成化开发系统,该系统界面友好,学习 容易,使用简单,功能齐全,是一款流行的EDA开发平台。 MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内完成。特别是在原理图输入等方面,Maxplus2被公认为是最易使用,人机界面最友善的PLD开发软件,特别适合初学者使用。 EDA (Electronic Design Automation) EDA技术就是依靠功能强大的电子计算机,在EDA 工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC芯片中,实现既定的电子电路设计功能。 2.2MAX+plus2 使用方法简要说明 MAX+plus2硬件平台的微机最好配置512MB内存、4,3GMB硬盘,可以在Windows XP等操作系统支持下工作。在进行了MAX+plus2的系统安装和系统启动后,对于所要设计和仿真的系统需要进行如下基本步骤: (1)VHDL语言工程文件的建立和编辑; 文件的建立:新建文件(file/new/text editor file)、输入文本(text editor)、保存文件(file/save);文件的修改:打开需修改文件 (file/open/*.vhd)、修改(text editor)、保存 (file/save); (2)电路图的建立和编辑

比较器放大器设计

1、 正向过零比较器如图1 上为电路,下为输入输出特性。根据电路要能画出来特性, 根据特性要能画出来电路。 2、正向任意比较器见图2。要求同上。 图1 图2 比较器的基本特点: 工作在开环或正反馈状态。 开关特性:因开环增益很大,比较器的输出只有高电平和低电平两个稳定状态。 非线性:因大幅度工作,输出和输入不成线性关系。 3、反向滞回比较器 其中om V -=-V om 通理om V + 由于这里基准电压VREF 是任意的,所以比较器传输特性的一般状态为 4、同向滞回比较器 滞回比较器电路图 特性 1REF 2T om 1212 'RV R V V R R R R - =+++1REF 2T om 1212RV R V V R R R R +=++ +

还有就是已经提过的窗口比较器 设计比较器就是根据特性设计电路。你需要根据题意画出特性;判断是属于上述哪种;画出 电路;根据V T 、om V +、om V - 等公式,先设计其中一个电阻为1k Ω,然后计算出其他电阻即可。 怎样设计放大器,比如,要求放大倍数为11,信号中频率成分最高为100KHZ ,最大不失真输出幅度为1V ,应该怎么做?如果放大倍数为负值又应怎样? 放大倍数为11,显然要用同相比例放大器。放大倍数 A vf = V o /V i =1+(R f /R 1) 先设计其中一个电阻为1k Ω,然后计算出其他电阻即可。 信号中频率成分最高为100KHZ , 说明是低频放大器。运放选择μA741或OP07即可。 最大不失真输出幅度为1V 运放电源选用±10v 即可。 如果放大倍数为负值又应怎样? 选反相比例放大器即可,余同上。

高速AD、DA和高速比较器模块电路设计

FPGA FPGA AD_CLK PIN_125 B[3] PIN_48 DA_OUT[9] PIN_120 B[4] PIN_52 DA_OUT [8] PIN_119 B[5] PIN_55 DA_OUT [7] PIN_118 B[6] PIN_58 DA_OUT [6] PIN_115 B[7] PIN_60 DA_OUT [5] PIN_114 B[8] PIN_64 DA_OUT [4] PIN_113 B[9] PIN_67 DA_OUT [3] PIN_112 B[10] PIN_70 DA_OUT [2] PIN_104 B[11] PIN_72 DA_OUT [1] PIN_103 B[12] PIN_74 DA_OUT [0] PIN_101 B[13] PIN_76 DA_PD PIN_100 B[14] PIN_80 DAC DA_CLK PIN_121 B[15] PIN_86 A[0] PIN_40 B[16] PIN_92 A[1] PIN_42 B[17] PIN_94 FPGA I/O A[2] PIN_44 FPGA I/O B[18] PIN_97 FPGA I/O A[0] LED 2.4 FPGA SPI C8051F020 FPGA EP2C5T144C8 SPI 2.4.1 C8051F020 EP2C5T144C8 I/O F PGA_D0(PIN_9) F PGA_D1(PIN_8)F PGA_D2(PIN_7)F PGA_D3(PIN_4)F PGA_D4(PIN_3)P30P31P32P33P34 2.4.1 FPGA SPI 2.5 10bit ADC 40Msps 10bit DAC 165Msps 2.5.1 TL3016 TI 2.5.1.1 5V ±5V LA TCH ENABLE 7.6 ns

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

电压比较器电路图

电压比较器电路。 电压比较器是比较两个电压和开关输出或高或低的状态,取决于电压较高的电路。一个基于运放电压比较器上显示。图1显示了一个电压比较器的反相模式图显示了在非反相模式下的电压比较。 电压比较器 非反相比较 在非反相比较器的参考电压施加到反相输入电压进行比较适用于非反相输入。每当进行比较的电压(Vin)以上的参考电压进入运放的输出摆幅积极饱和度(V+),和副反之亦然。实际上发生了什么是VIN和Vref(VIN-VREF)之间的差异,将是一个积极的价值和由运放放大到无穷大。由于没有反馈电阻Rf,运放是在开环模式,所以电压增益(AV)将接近无穷。+所以最大的可能值,即输出电压摆幅,V。请记住公式AV=1+(Rf/R1)。当VIN低于VREF,反向发生。 反相比较

在相比较的情况下,参考电压施加到非反相输入和电压进行比较适用于反相输入。每当输入电压(Vin)高于VREF,运放的输出摆幅负饱和。倒在这里,两个电压(VIN-VREF)之间的差异和由运放放大到无穷大。记住公式AV=-Rf/R1。在反相模式下的电压增益的计算公式是AV=-Rf/R1.Since没有反馈电阻,增益将接近无穷,输出电压将尽可能即负,V-。 实际电压比较器电路 一种实用的非基于UA741运放的反相比较器如下所示。这里使用R1和R2组成的分压器网络设置参考电压。该方程是VREF=(五+/(R1+R2)的)×R2的。代入这个方程电路图值,VREF=6V。当VIN高于6V,输出摆幅?+12V直流,反之亦然。从A+/-12V 直流双电源供电电路。 电压比较器的使用741

一些其他的运放,你可能会感兴趣的相关电路 1求和放大器:总结放大器可以用来找到一个信号给定数量的代数和。 2。集成使用运放:对于一个集成的电路,输出信号将输入信号的积分。例如,一个集成的正弦波使余弦波,方波一体化为三角波等。 3。反相放大器:在一个反相放大器,输出信号将输入信号的倒版,是由某些因素放大。 4,仪表放大器:这是一个类型的差分放大器输入额外的缓冲阶段。输入阻抗高,易于匹配结果。仪表放大器具有更好的稳定性,高共模抑制比(CMRR),低失调电压和高增益。

比较器工作原理

电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 什么是电压比较器 简单地说,电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+” 端) 及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压VA,反相端输入VB。VA和VB的变化如图1(b)所示。在时间0~t1时,VA>VB;在t1~t2时,VB>VA;在t2~t3时,VA>VB。在这种情况下,Vout的输出如图1(c)所示:VA>VB时,Vout 输出高电平(饱和输出);VB>VA时,Vout输出低电平。根据输出电平的高低便可知道哪个电压大。 如果把VA输入到反相端,VB输入到同相端,VA及VB的电压变化仍然如图1(b)所示,则Vout 输出如图1(d)所示。与图1(c)比较,其输出电平倒了一下。输出电平变化与VA、VB的输入端有关。 图2(a)是双电源(正负电源)供电的比较器。如果它的VA、VB输入电压如图1(b)那样,它的输出特性如图2(b)所示。VB>VA时,Vout输出饱和负电压。

如果输入电压VA与某一个固定不变的电压VB相比较,如图3(a)所示。此VB称为参考电压、基准电压或阈值电压。如果这参考电压是0V(地电平),如图3(b)所示,它一般用作过零检测。 比较器的工作原理 比较器是由运算放大器发展而来的,比较器电路可以看作是运算放大器的一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门的比较器集成电路。 图4(a)由运算放大器组成的差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与VA、VB及4个电阻的关系式为:Vout=(1+RF/R1)·R3/(R2+R3)VA-(RF/R1)VB。若R1=R2,R3=RF,则Vout=RF/R1(VA-VB),RF/R1为放大器的增益。当R1=R2=0(相当于R1、R2短路),R3=RF=∞(相当于R3、RF开路)时,Vout=∞。增益成为无穷大,其电路图就形成图4(b)的样子,差分放大器处于开环状态,它就是比较器电路。实际上,运放处于开环状态时,其增益并非无穷大,而Vout输出是饱和电压,它小于正负电源电压,也不可能是无穷大。

LM339比较器应用电路

lm339应用电路图:LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:失调电压小,典型值为2mV;电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V;对比较信号源的内阻限制较宽;共模范围很大,为0~(Ucc-1.5V)Vo;差动输入电压范围较大,大到可以等于电源电压;输出端电位可灵活方便地选用。 LM339集成块采用C-14型封装,图1为外型及管脚排列图。由于LM339使用灵活,应用广泛,所以世界上各大IC生产厂、公司竟相推出自己的四比较器,如IR2339、ANI339、SF339等,它们的参数基本一致,可互换使用。 LM339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点),另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K)。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。另外,各比较器的输出端允许连接在一起使用。 单限比较器电路 图3为某仪器中过热检测保护电路。它用单电源供电,1/4LM339的反相输入端加一个固定的参考电压,它的值取决于R1于R2。UR=R2/(R1+R2)*UCC。同相端的电压就等于热敏元件Rt的电压降。当机内温度为设定值以下时,“+”端电压大于“-”端电压,Uo为高电位。当温度上升为设定值以上时,“-”端电压大于“+”端,比较器

新型浮栅MOS单管动态比较器设计

万方数据

万方数据

万方数据

3期余宁梅等:新型浮栅MOS单管动态比较器设计4372流片测试 电路经优化设计和版图绘制,芯片占用面积为 99pm×29.5pm。用charted0.35pm工艺流片的显 微照片如图6(a)标注部分,芯片封装与测试电路板 见图6(b)。 图6比较器显微照及封装照:(a)芯片显微照片;(b)芯片封装照片 Fig.6Chipandpackagedphotoofcomparator:(a)Chipphotoofthechip;(b)Packagedpictureof thechip 测试时钟是用Verilog编写代码烧写在开发板生成的,保证了各时序的严格对应。取浮栅偏置y‰=0.75V,电源电压yDD=3.3V,参考电压Vref从0~2V间任意取值与反复调节输入各电压进行比较、测试。为了观测方便,以SW。控制时钟和比较器输出结果作对比,可直观地得到能够反映输入电压相对于参考电压大小的两种输出结果,如图7(a)、(b)中荧光屏下方波形。 改变开关的控制时钟,测试其串行比较速度。在SW。时钟最高频率为5MHz时,仍有正确的比较功能,此情况下,平均功耗为2.8mW。改变两比较电压的差值,得到最小分辨压差AV=86mV。 图7测试波形图:(a)u。<y。f时测试结果;(b)“>y耐时测试结果 Fig.7diagramsoftestwave:(a)Testwaveinthecaseofy.山<y耐;(b)TestwaveinthecaseofVin> y耐 3结论 浮栅MOS单管比较器是以浮栅电荷的保持特性为理论基础,按照分时串行输入电压的方式进行比较运算。输出电平的跳变是取决于输入电压相对于参考电压的大小来控制浮栅管的通断,以决定输出电容与源极电容是否进行电荷再分配来实现的。电路经前、后仿真,并采用charted0。35弘mCMOS工艺流片,最终芯片面积约为0.003mm2。测试结果表明,电路功能正确,仿真与芯片实测数据吻合;比较一次时间为0.4ps,平均功耗为2.8mw,输入压差为86mV,输出跳变,大大小于MOS的管阈值。 参考文献 [1]谢直鑫,刘健,毛绍伯.大规模集成电路掩模缺陷激光修正技术[J].激光杂志,1987,8(5):331—326. [2]PhanAT,KimCW,JungMS,eta1.Anovel1.5VDCoffsetcancellationCMOSdownconversionmixer [J].IEEEInternationalSymposiumonCircuitsandSystems,2005,4:3704—3707. [3]HolensteinC,StonickJT.Adaptivedual—loopalgo-rithmforcancellationoftime-varyingoffsetsin direct万方数据

电压比较器电路图

电压比较器电路图 单限比较器电路 OH。图1B为其传输特性。 图3为某仪器中过热检测保护电路。它用单电源供电,1/4LM339的反相输入端加一个固定的参考电压,它的值取决于R1于R2。UR=R2/(R1+R2)*UCC。同相端的电压就等于热敏元件RT的电压降。当机内温度为设定值以下时,“+”端电压大于“-”端电压,UO 为高电位。当温度上升为设定值以上时,“-”端电压大于“+”端,比较器反转,UO输出为零电位,使保护电路动作,调节R1的值可以改变门限电压,既设定温度值的大小。

图3 迟滞比较器 图1 不难看出,当输出状态一旦转换后,只要在跳变电压值附近的干扰不超过ΔU之值,输出电压的值就将是稳定的。但随之而来的是分辨率降低。因为对迟滞比较器来说,它不能分辨差别小于ΔU的两个输入电压值。迟滞比较器加有正反馈可以加快比较器的响应速度,这是它的一个优点。除此之外,由于迟滞比较器加的正反馈很强,远比电路中的寄生耦合强得多,故迟滞比较器还可免除由于电路寄生耦合而产生的自激振荡。 图2 图3为某电磁炉电路中电网过电压检测电路部分。电网电压正常时,1/4LM339的U4<2.8V,U5=2.8V,输出开路,过电压保护电路不工作,作为正反馈的射极跟随器BG1是导通的。当电网电压大于242V时,U4>2.8V,比较器翻转,输出为0V,BG1截止,U5的电压就完全决定于R1与R2的分压值,为2.7V,促使U4更大于U5,这就使翻转后的状态极为稳定,避免了过压点附近由于电网电压很小的波动而引起的不稳定的现象。由于制造了一定的回差(迟滞),在过电压保护后,电网电压要降到242-5=237V时,U4

高速比较器的分析与设计

摘要 比较器是模数(A/D)转换器的重要组成部分,也是电子系统中应用较为广泛的电路之一。比较器的性能,尤其是速度、功耗、噪声、失调,对整个模数转换器的速度、精度和功耗都有着至关重要的影响。比较器的设计以开环高增益放大器的设计为基础。这类比较器属于非线性的模拟电路,其输入和输出之间不存在线性关系。比较器的系统级应用包括便携式和电池驱动的系统、扫描仪、机顶盒和高速差分线接收器。 基于预放大再生锁存理论,本文设计的比较器采用了预放大级结构和动态latch锁存器结构,在传统高速比较器电路结构的基础上应用开关运算放大器技术,提高了分辨率,降低了传输延时。该比较器包括全差分结构的前置放大电路,反相器首尾连接成的双稳态结构为核心的动态再生锁存电路和由两个交叉NMOS晶体管和简单的PMOS共源放大输入组成的输出锁存电路。当时钟信号为低电平时,输入信号和参考信号之差被前置放大电路放大,前置放大电路在获得大的带宽的同时达到较高的增益,有效的提高了比较器的速度,降低了比较器的输入失调电压,比较器输出相对应的逻辑电平,当时钟信号为高电平时,比较器输出被锁存到高电平。 关键词:高速比较器;CMOS;失调电压

Abstract Comparator is one of the most important units in ADCs and widely used in electronic systems.The performances of comparators,such as speed, power consumption,noise, and offset,strongly influence the speed,precision and power consumption of ADCs. V oltage detectors,voltage level transformer,voltage-frequency transformer,sampling/track and hold circuit, zero detectors, peak and delay line detectors all utilize comparators. Based on preamplifier-latch theory,this design of the comparator useing pre-amplifier stage with the structure and dynamic latch structure,on the basis of the traditional structure of high-speed comparator circuit switch,application switching operational amplifier technology, improve the resolution and reduce the transmission delay.the comparator includes a preamplifier circuit of fully differential structure,a regenerative latch whose key components are inverters connected end to end,and a simple output stage which is made up of two cross-coupled NMOS transistor and the PMOS common source amplifier.When clock is low, the difference between input signal and reference signal amplified by preamplifier circuit,Preamplifier circuit get a big bandwidth to achieve high gain in the same time,improve the speed of the comparator effectively,Reduces the input offset voltage of the comparator,comparator output corresponding to logic level.When the clock signal is high,the comparator output is latched to high. Key words:high-speed comparator; CMOS; Offset voltag

比较器电路

模拟电路课程设计报告设计课题:比较器电路 专业班级: 学生姓名: 学号: 指导教师: 设计时间:

目录 一、设计任务与要求 二、方案设计与论证 三、单元电路设计与参数计算 四、总原理图及元器件清单 五、安装与调试 六、性能测试与分析 七、结论与心得 八、参考文献

窗口检波器电路设计 一、设计任务与要求 ①设计一个检测被测信号的窗口检波器电路; ②当输入信号绝对值大于3V时,输出高电平;当输入信号绝对值小于3V 时,输出低电平。 ③输出高电平为+6V,低电平为-6V; ④±3V参考电压自行设计; ⑤用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源(±12V)。 二、方案设计与论证. 方框图: 被检测信号:输入三角波信号电压 窗口比较器:检测输入信号电压,当输入电压在-3V到3V之间时,输出低电平;当输入电压小于-3V或大于3V,则输出高电平. 检测结果:高电平或低电平.

方案一: 50% 运用窗口比较器来检测输入信号电压,利用二个双向稳压管稳定输出电压,方案二: 采用窗口比较器和射极跟随器组建电路,窗口比较器检测输入信号电压,稳压管稳定输出电压,用射极跟随器将输出电压反向。 比较: 方案二,电路复杂,所用器材较多,成本较高,也不利于我们焊接电路。 而方案一的电路简单,原理清晰,所用器材常见。因此,理解电路图和焊接

电路图都比较容易,所以我选择方案一 三、单元电路设计与参数计算 (一)单元电路 (1)直流电源部分 电路可把220V的交流电变成15V的直流电 . 该直流源采用单相桥式整流法进行整流,用电容滤波电路进行滤波,采用稳压管稳压电路进行稳压。 原理分析:直流电源的输入电压为220v的电网电压,一般情况下,所需直流电压的数值与电网电压的有效值相差较大,因而需要通过电源变压器降压后,在对交流电压进行处理。变压器副边电压有效值决定后面电路的需要。变压器副边电压通过整流电路从交流电压转换为直流电压,即将正弦波电压转换为单一方向的脉动电压。 为了减小电压的脉动,需通过低通滤波电路的滤波,使输出电压平滑。理想情况下,应将交流分量全部滤掉,使滤波电路的输出机仅为直流电压。 1) 单相桥式整流电路

4位数值比较器设计

电子技术课程设计报告 题目:4位数值比较器设计 学生: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B 2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1) FA

2FA1=B2FA0B 、IAB 、IAB=IAB ;红灯亮表示A

滞回比较器课程设计报告

模拟电路课程设计报告设计课题:滞回比较电路 专业班级: 学生姓名: 学号: 指导教师: 设计时间: 滞回比较器电路设计 一、设计任务和要求 1、设计一个检测被测信号的电路;被测信号在2V-5V内输出不变;小于2V 输出低电平,大于5V输出高电平。

2、高电平为+3V,低电平为-3V; 3、参考电压UREF自行设计; 4、用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源(±12V)。 二、方案设计与论证 电压比较器是对输入信号进行鉴幅与比较的电路。其基本功能是对两个输入电压进行比较,并根据比较结果输出高电平或低电平电压,据此来判断输入信号的大小和极性。输出电平在最大输出电压的正极限值和负极线值之间摆动。此次课程设计要求做一个输入小于2V时输出-3V,输入大于5V时输出3V,输入2V-5V时输出不变得滞回比较器电路。总体思路如下: 1.方案设计 方案一:被测信号从同相输入端输入,输出端用稳压管稳压,参考电压用电位器分压取得通过电压跟随器与反相输入端相连。运用滞回比较器基本原理实现要求的功能。方案一原理图如图2-1所示 图2-1 方案一原理图 方案二,被测信号从反相输入端输入,输出端用稳压管稳压,再接一个反相比例运算电路,使其比例系数为-1。参考电压由电位器分压获得,通过电压跟随器与同相输入端相连。运用滞回比较器基本原理实现要求的功能。

方案二原理图如图2-2所示 U1 UA741CD 3 2 4 7 6 5 1 U2 UA741CD 3 2 4 7 6 5 1 R1 20kΩ R2 20kΩ R3 5.6kΩ R5 10kΩ VCC 12V VCC 12V VEE -12V VEE -12V VDD 7V D1 1N4730A D2 1N4730A R4 20kΩ R6 20kΩ R7 10kΩ Ui Uz 图2-2 方案二原理图 2.方案论证 方案一:电路相对简单,焊接比较简单,所需元器件较少且容易获得。 方案二:电路结构相对复杂,焊接比较繁琐,需要的元器件相对较多。 我的选择:方案一。 理由:所用元件较少,焊接比较简单,价格较便宜,性能也不相上下。故较方案二要好一些。 三、单元电路设计与参数计算 1.滞回比较电路--方案一 因V U Z3 =3 2 2R R=得 V U REF 3 7 = 令 则 K R R R R R 3 10 3 2 3 2 1= + ? = 因此,当输入信号在2V-5V内输出不变;当小于2V时输出低电平-3V,

滞回比较器电路设计

课程设计说明书 课程名称:电子技术课程设计 设计题目:滞回比较器电路设计 专业:电气工程及其自动化 班级:电气1502班 姓名:王佳岩 学号: 631504020209 指导教师: 设计时间: 2017年6月19日—2017 年6月30日

目录 1 . 概述 (1) 2 . Multisim单元电路设计、仿真及原理介绍 (1) 2.1.电源设计 (1) 2.2.滞回比较器 (2) 2.3.窗口比较器电压部分 (4) 3.2.1窗口比较器 (4) 3.2.1窗口比较器的限幅 (5) 3.2.1总电路图 (5) 3. 仿真测试 (6) 4. 设计心得体会 (7) 5. 参考文献 (9) 6. 附录 (10)

1 概述 压比较器可以看作是放大倍数接近"无穷大"的运算放大器。电压比较器的功能:比较两个电压的大小(用输出电压的高或低电平,表示两个输入电压的大小关系): 当"+"输入端电压高于"-"输入端时,电压比较器输出为高电平; 当"+"输入端电压低于"-"输入端时,电压比较器输出为低电平。电压比较器的作用:它可用作模拟电路和数字电路的接口,还可以用作波形产生和变换电路等。利用简单电压比较器可将正弦波变为同频率的方波或矩形波。简单的电压比较器结构简单,灵敏度高,但是抗干扰能力差,因此人们就要对它进行改进。改进后的电压比较器有:滞回比较器和窗口比较器。运放,是通过反馈回路和输入回路的确定"运算参数",比如放大倍数,反馈量可以是输出的电流或电压的部分或全部。而比较器则不需要反馈,直接比较两个输入端的量,如果同相输入大于反相,则输出高电平,否则输出低电平。电压比较器输入是线性量,而输出是开关(高低电平)量。一般应用中,有时也可以用线性运算放大器,在不加负反馈的情况下,构成电压比较器来使用。可用作电压比较器的芯片:所有的运算放大器。常见的有 LM324 LM358 uA741 TL081\2\3\4 OP07 OP27,这些都可以做成电压比较器(不加负反馈)。LM339、LM393是专业的电压比较器,切换速度快,延迟时间小,可用在专门的电压比较场合,其实它们也是一种运算放大器。 2 Multisim单元电路设计、仿真及原理介绍 2.1电源设计 桥式整流电路的优点是输出电压高,纹波电压较小,管子所承受的最大反向电压较低,同时因电源变压器在正、负半周内都有电流供给负载,电源变压器得到了充分的利用,效率较高。用带有内部滞回电路的比较器代替开环运算放大器能够抑制输出的频繁跳变和振荡。或在比较器的正反馈电路中增加外部滞回电路, 正反馈的作用是确保输出在一个状态到另一个状态之间快速变化, 使比较器的 输出的模糊状态时间达到可以忽略的水平, 如果在正反馈中加入滞回电路可减 缓这种频繁跳变。电感滤波电路利用电感器两端的电流不能突变的特点,把电感器与负载串联起来,以达到使输出电流平滑的目的。用带有内部滞回电路的比较器代替开环运算放大器能够抑制输出的频繁跳变和振荡。或在比较器的正反馈电路中增加外部滞回电路, 正反馈的作用是确保输出在一个状态到另一个状态 之间快速变化, 使比较器的输出的模糊状态时间达到可以忽略的水平, 如果在 正反馈中加入滞回电路可减缓这种频繁跳变。1.桥式整流电路电感滤波缺点:存

几种运算放大器(比较器)及经典电路的简单分析

运算放大器组成的电路五花八门,令人眼花瞭乱,是模拟电路中学习的重点。在分析它的工作原理时倘没有抓住核心,往往令人头大。为此本人特搜罗天下运放电路之应用,来个“庖丁解牛”,希望各位从事电路板维修的同行,看完后有所斩获。 遍观所有模拟电子技朮的书籍和课程,在介绍运算放大器电路的时候,无非是先给电路来个定性,比如这是一个同向放大器,然后去推导它的输出与输入的关系,然后得出Vo=(1+Rf)Vi,那是一个反向放大器,然后得出Vo=-Rf*Vi……最后学生往往得出这样一个印象:记住公式就可以了!如果我们将电路稍稍变换一下,他们就找不着北了!偶曾经面试过至少100个以上的大专以上学历的电子专业应聘者,结果能将我给出的运算放大器电路分析得一点不错的没有超过10个人!其它专业毕业的更是可想而知了。 今天,芯片级维修教各位战无不胜的两招,这两招在所有运放电路的教材里都写得明白,就是“虚短”和“虚断”,不过要把它运用得出神入化,就要有较深厚的功底了。 虚短和虚断的概念 由于运放的电压放大倍数很大,一般通用型运算放大器的开环电压放大倍数都在80 dB以上。而运放的输出电压是有限的,一般在 10 V~14 V。因此运放的差模输入电压不足1 mV,两输入端近似等电位,相当于“短路”。开环电压放大倍数越大,两输入端的电位越接近相等。 “虚短”是指在分析运算放大器处于线性状态时,可把两输入端视为等电位,这一特性称为虚假短路,简称虚短。显然不能将两输入端真正短路。 由于运放的差模输入电阻很大,一般通用型运算放大器的输入电阻都在1MΩ以上。因此流入运放输入端的电流往往不足1uA,远小于输入端外电路的电流。故通常可把运放的两输入端视为开路,且输入电阻越大,两输入端越接近开路。“虚断”是指在分析运放处于线性状态时,可以把两输入端视为等效开路,这一特性称为虚假开路,简称虚断。显然不能将两输入端真正断路。 在分析运放电路工作原理时,首先请各位暂时忘掉什么同向放大、反向放大,什么加法器、减法器,什么差动输入……暂时忘掉那些输入输出关系的公式……这些东东只会干扰你,让你更糊涂﹔也请各位暂时不要理会输入偏置电流、共模抑制比、失调电压等电路参数,这是设计者要考虑的事情。我们理解的就是理想放大器(其实在维修中和大多数设计过程中,把实际放大器当做理想放大器来分析也不会有问题)。 好了,让我们抓过两把“板斧”------“虚短”和“虚断”,开始“庖丁解牛”了。 (原文件名:1.jpg)

几种电压比较器的说明和比较

集成运放组成的电压比较器 1. 功能及应用:主要用来判断输入信号电位之间的相对大小,它至少有两个输入端及一个输出端,通常用一个输入端接被比较信号U i,另一个则接基准电压V R定门限电压(或称阀值)的U T。输出通常仅且仅有二种可能即高、低二电平的矩形波,应用于模-数转换,波形产生及变换,及越限警等。 2. 运放的工作状态:开环和正反馈应用:运放在线性运用时,由于开环增益一般在105以上,所以其对应的输入的线性范围很小,U i数量级,为了拓宽其线性范围就必须引入负反馈,降低其开环增益。而比较器则希望其输入的线性范围越小越好(即比较灵敏度越高)采用开环或使开环增益更高的正反馈应用。在这儿有必要重复展现运放开环电压传输特性。见图8.2.1,请注意横、纵坐标标度的不同 (1) 从途中可化称 (2) 若U i发出变化,使Uo从负波饱和值突变到正饱和值,只在经过极窄的线性区 时,才遵循在线性工作时才特有的“虚短”,其它时刻“虚短”不复存在。 (3) 若横坐标采用与纵坐标相同的标尺,则线性部分特性与纵轴合拢。 (4) 若用正反馈使Aod↑,则可缩短状态的转换时间。 3. 分类:

(1) 单限比较器 (2) 迟滞比较器(Schmitt) (3) 双限比较器(窗口比较器) 二. 单限比较器 1. U i与U R分别接运放两输入端的开环串接比较器,见图8. 2.2 ΔU i>U R Uo=+Uom ΔU i

常用运放电路及其各类比较器电路

彭发喜,制作 同相放大电路: 运算放大器的同相输入端加输入信号,反向输入端加来自输出的负反馈信号,则为同相放大器。 图是同相放大器电路图。 因为e1=e2,所以输入电流极小,输入阻抗极高。 如果运算放大器的输入偏置电流,则 e1=e2 放大倍数: 原理图:

反相比例运算放大电路图: 1号图: 2号图: 反相输入放大电路如图1所示,信号电压通过电阻R1加至运放的反相输入端,输出电压vo通过反馈电阻Rf反馈到运放的反相输入端,构成电压并联负反馈放大电路。R ¢为平衡电阻应满足R ¢= R1//Rf。 利用虚短和虚断的概念进行分析,vI=0,vN=0,iI=0,则 即

∴ 该电路实现反相比例运算。 反相放大电路有如下特点 1.运放两个输入端电压相等并等于0,故没有共模输入信号,这样对运放的共模抑制比没有特殊要求。 2.vN= vP,而vP=0,反相端N没有真正接地,故称虚地点。 3.电路在深度负反馈条件下,电路的输入电阻为R1,输出电阻近似为零。 运算放大器减法电路原理: 图为运放减法电路 由e1输入的信号,放大倍数为R3/R1,并与输出端e0相位相反,所以 由e2输入的信号,放大倍数为 与输出端e0相位相,所以

当R1=R2=R3=R4时e0=e2-e1 加法运算放大器电路: 加法运算放大器电路包含有反相加法电路和同相加法电路. 同相加法电路:由LF155组成。 三个输入信号同时加到运放同相端,其输入输出电压关系式:

反相加法电路:由运算放大器lm741组成。(lm741中文资料) 反相加法运算电路为若干个输入信号从集成运放的反相输入端引入,输出信号为它们反相按比例放大的代数和。 电压比较器: 图4(a)由运算放大器组成的差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与VA、VB及4个电阻的关系式为:Vout=(1+RF/R1)·R3/(R2+R3)VA-(RF/R1)VB。若R1=R2,R3=RF,则Vout=RF/R1(VA-VB),RF/R1为放大器的增益。当R1=R2=0(相当于R1、R2短路),R3=RF=∞(相当于R3、RF开路)时,Vout=∞。增益成为无穷大,其电路图就形成图4(b)的样子,差分放大器处于开环状态,它就是比较器电路。实际上,运放处于开环状态时,其增益并非无穷大,而Vout输出是饱和电压,它小于正负电源电压,也不可能是无穷大。