数字电路期末复习指导-2015剖析

数字电路期末复习指导-2015剖析
数字电路期末复习指导-2015剖析

第一章 逻辑代数基础

一、本章知识点

1.码制定义、码的表示方法。

BCD 码的定义、常用BCD 码特点及表示十进制数的方法 2.逻辑代数的基本公式和常用公式。 掌握逻辑代数的基本公式和常用公式 3.逻辑代数的三个基本定理。 定义、应用

4.逻辑函数的表示方法及相互转换。

5.逻辑函数最小项之和的标准形式。

6.逻辑函数的化简。 公式法化简逻辑函数

卡诺图法化简逻辑函数的基本原理及化简方法

二、例题

1.BCD 码和十进制数相互转换

根据BCD 码的编码规则,四位一组对应十进制数。 (1)(10110010110)余3码 = (263)10 (2)596)10 = (10110010110)8421码 2.分别求下列函数的对偶式Y ‘和反函数Y (1)D C B A Y ++=)(

D C B A Y ?+?=)(' D C B A Y ?+?=)(

(2)D A C B A Y ++=

)()('D A C B A Y +??+= D C B A Y ?+?=)(

3.写出函数B A AB Y +=的与非-与非式。

B A AB Y ?=

4.将下列函数展成最小项之和的标准形式 (1)Y=C B B A ?+?

C

B A

C B A C B A C B A C B A C B A C B A A A C B C C B A Y ??+??+??=??+??+??+??=+??++??=)()(

(2)

Q R S Y +=

SRQ

Q SR Q R S Q R S Q R S S S Q R Q Q R R S Q R S Y ++++=++++=+=)())((

5.假设开关闭合用1表示,开关断开用0表示,电灯亮用1表示,不亮用0表示,试写出图示开关电路的真值表和逻辑表达式。 解答:略

6.用公式法化简下列函数

(1) C AB C B BC A AC C B A Y +++=),,(

C

C AB C C

AB B B A A C C AB C B BC A AC C B A Y =+=+++=+++=)(),,(

(2)D D C C B C A AB Y ++++=

1

1)()()(=++=++++=++++=++++=D C D C B A AB D C C B C A AB D D C C B C A AB Y

7.用卡诺图化简下列逻辑函数 (1)∑=

)15,14,13,12,11,10,6,5,4,2(),,,(m D C B A Y

D C AC C B Y ++=

(2)∑∑+=

)11,9,8,3,1,0()15,12,7,6,4,2(),,,(d m D C B A Y

C A C

D D C Y ++=

(3)

:)9,8,7,5,2,1,0(),,,(=+=∑AC AB m D C B A Y 约束条件

第二章门电路

一、本章重点

1.各类门电路的符号及功能。

2.TTL电路的外特性及其应用。

3.CMOS电路的外特性及其应用。

二、本章知识点

(一) 基本概念

1.熟练掌握各种门电路的功能及逻辑符号。

2.熟记TTL、CMOS门的主要电气参数(高低电平的典型值、转折电压值)。

3.正确理解噪声容限的概念。

4.正确理解哪些TTL门电路可以将输出端并联使用。

5.正确理解门电路多余输入端的处理方法(应该接什么逻辑电平)。

6.熟练掌握TTL门电路输入端的负载特性,开门电阻值、关门电阻值,会判断输入端在接不同负载电阻时所对应的相应逻辑值。

7.熟练掌握TTL门电路的输入端、输出端电压电流关系特性(在输入高、低电平时相应的电流方向及大小)。

8.熟练掌握门输出端连接同类门的最多个数的计算方法。

(二) 简要分析

熟练掌握各种功能门电路的逻辑功能。

熟练掌握TTL门电路输入端的负载特性、输入/输出端的电压电流关系特性,会判断各种情况下输入端的逻辑值。

熟练掌握集电极开路门的线与结构、三态门工作状态的判断、CMOS传输门工作状态的判断。

在掌握以上知识点的前提下,具备以下分析能力:

1.根据各种门电路的给定接法,写出相应的输出逻辑表达式。

2.根据各种门电路的给定接法,求出相应的输出逻辑值。

3.根据各种门电路的给定接法、及输入波形,画出相应的输出波形。

4.根据给定的门电路的输入、输出参数,计算能最多驱动多少个门。或计算其它参数。

三、例题

1.已知图示TTL门电路的输入端波形,试分别画出Y1、Y2、Y3、Y4的输出波形。

解:波形如图所示

2指出下图中由TTL门电路组成的逻辑电路的输出是什么(高电平、低电平、高阻)?

解:Y1= 低电平Y2= 高电平Y3= 高阻Y4= 高电平

3.下图电路均由TTL 门组成,R ON =2K ,R OFF =0.7K ,试分别写出输出函数的表达式。

解:1100Y A C B =?+?+=

2Y A B C D =+?+

3Y AB C ABC ABC A C BC ABC =⊕=+=++

4.已知CMOS 逻辑电路如图所示,试写出输出逻辑函数Y1、Y2的表达式。

解:1Y A = 2Y AC BC =+ 5.TTL 门电路如图所示。

(1)图中多余输入端B 应接 。

(2)为使图中电路F 1=f (A,C)正常工作,该电路是否还有错误?为什么?如有错误,请改正。 在上述(1)、(2)问题解决后:

(3)如A=1、C=0,1门输出Y ,F 1= ; 如A=1、C=1,1门输出Y ,F 1= ;

解:

(1)图中多余输入端B 应接 低电平 。

(2)或非门输入端通过10K 电阻接地,相当于常接高电平,封锁了或非门,使它出低电平,与A 、C 无关了。因此,为使图中电路F 1=f (A,C)正常工作,该电路确实有错误。 改正:把10K 电阻改换为小于700Ω的电阻即可。 (3)如A=1、C=0,1门输出Y 0 ,F 1= 1 ; 如A=1、C=1,1门输出Y 高阻 ,F 1= 0 ;

6.在图示电路中,当开关S 闭合时,要求门电路的输入电压V IL <0.4V ;当S 断开时,要求门电路的输入电压V IH >4V 。G1~G3为74LS 系列TTL 门,输入电流I IL =-0.4mA 、I IH =20μA ,试求电阻R 1、R 2的最大允许值。

解:1) 开关S 闭合时,输入低电平

140.4IL IL V I R =?< ……. ①

2) 开关S 断开时,输入高电平

12()44IH cc IH V V R R I =-+?> …….. ② 由①和②式得 R 1<250Ω,R 1+R 2<12500Ω 所以,R1最大250Ω,R2最大12500Ω

推广:(1)如门改成与非门、或非门后如何计算?

(2)如门的个数改变后如何计算?

(3)如门的输入端个数改变后如何计算?

7.在图示电路中,已知门的输入电流为I IL= -1.6mA、I IH=40μA,输出电流I OL(max)=16mA、

I OH(max)=-0.4mA,计算门G m能驱动多少同样的或非门。

解:见习题

推广:(1)如负载门改成非门、与非门后如何计算?

(2)如门的输入端个数改变后如何计算?

第三章组合逻辑电路

一、本章知识点

(一)概念

1.组合电路:电路在任一时刻输出仅取决于该时刻的输入,而与电路原来的状态无关。

电路结构特点:只有门电路,不含存储(记忆)单元。

2.编码器的逻辑功能:把输入的每一个高、低电平信号编成一个对应的二进制代码。

优先编码器:几个输入信号同时出现时,只对其中优先权最高的一个进行编码。

3.译码器的逻辑功能:输入二进制代码,输出高、低电平信号。

显示译码器:半导体数码管(LED数码管)、液晶显示器(LCD)

4.数据选择器:从一组输入数据中选出某一个输出的电路,也称为多路开关。

5.加法器

半加器:不考虑来自低位的进位的两个1位二进制数相加的电路。

全加器:带低位进位的两个 1 位二进制数相加的电路。

超前进位加法器与串行进位加法器相比虽然电路比较复杂,但其速度快。

6.数值比较器:比较两个数字大小的各种逻辑电路。

7.组合逻辑电路中的竞争一冒险现象

竞争:门电路两个输入信号同时向相反跳变(一个从1变0,另一个从0变1)的现象。

竞争-冒险:由于竞争而在电路输出端可能产生尖峰脉冲的现象。

消除竞争一冒险现象的方法:接入滤波电容、引入选通脉冲、修改逻辑设计

(二)组合逻辑电路分析

熟练掌握:由门、译码器、数据选择器、ROM等器件构成的组合电路的分析方法。

(三)组合逻辑电路设计

熟练掌握:由门、译码器、数据选择器、ROM等器件设计组合电路的方法。

注:ROM构成组合电路的方法见第七章

设计步骤:

1.逻辑抽象,列真值表

设计要求----文字描述的具有一定因果关系的事件

逻辑要求---真值表

(1)设定变量--根据因果关系确定输入、输出变量;

(2)状态赋值:定义逻辑状态的含意

输入、输出变量的两种不同状态分别用0、1代表。

(3)列真值表

2.由真值表写出逻辑函数式

真值表→函数式(有时可省略)

3.选定器件的类型

可选用小规模门电路、中规模常用组合逻辑器件或存储器、可编程逻辑器件 4.逻辑式化简或变换式

(1)用门电路进行设计:从真值表→卡诺图/公式法化简

(2)用中规模常用组合电路设计:把函数式变换为与所用器件逻辑表达式相似的形式 (3)使用存储器、可编程逻辑器件设计组合电路 5.画出逻辑图

原理性设计(逻辑设计)完成。

二、例题

1.组合电路如图所示,分析该电路的逻辑功能。

解:

(1)由逻辑图逐级写出逻辑表达式

ABC P =

CP BP AP L ++=ABC C ABC B ABC A ++= (2)化简与变换

C B A ABC C B A ABC C B A ABC L +=+++=++=)((3)由

表达式列出真值表

(4)分析逻辑功能

由真值表可知,当A 、B 、C 三个变量不一致时,电路输出为“1”,所以这个电路称为“不一致电路”。

2.第四版书P179 图T

3.3 、图T3.4、P 401 图P7.7等组合电路的分析

3.设计一个监视交通信号灯工作状态的逻辑电路。正常情况下,红、黄、绿灯只有一个亮,否则视为故障状态,发出报警信号,提醒有关人员修理。

要求:(1)用门电路实现;(2)用3-8线译码器实现;(3)用4选1数据选择器实现;(4)用ROM 设计。

A B C

L

解:

(1)用门电路实现 ①逻辑抽象

输入变量:R 、A 、G ,红、黄、绿灯;灯亮为1,不亮为0。 输出变量:Z--故障信号,正常工作Z 为0,发生故障Z 为1。 列出真值表

②写出函数式并化简 Z R A G RAG RA G RAG RAG =++++ 经卡诺图化简得: Z R A G RA RG AG =+++ ③画出电路图

(2)用3-8真值表

R A G Z 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

1 0 0 1 0 1 1 1

&

1&&

&

1

1

≥1

R

G

A Z

①标准与或式 03567 Z R A G RAG RA G RAG RAG m m m m m =++++=++++ ②化成与非-与非式 0356703567Z m m m m m m m m m m =++++=???? ③设R =A 2、A =A 1、G =A 0 则03567Z Y Y Y Y Y =???? ④画连线图

(3)用4选1数据选择器实现

①标准与或式 Z R A G RAG RA G RAG RAG =++++ S =1时 4选1 010*********Y D A A D A A D A A D A A =+++ ②确定输入变量和地址码的对应关系

令A =A 1,G = A 0 ( )()()1Z R A G R AG R AG AG =+++? 则:0D R = 12D D R == 31D =

(4)用ROM 设计

①标准与或式03567 Z R A G RAG RA G RAG RAG m m m m m =++++=++++

1

②画点阵图

4.分别用74LS153(4选1数据选择器)和74LS152(8选1)实现函数F=AB+BC+AC 。 解:

(1)用4选1数据选择器来设计

①标准与或式 F ABC ABC ABC ABC =+++ 数据选择器 010*********Y D A A D A A D A A D A A =+++ ②确定输入变量和地址码的对应关系

令 A 1 = A , A 0 = B 0123Y D AB D AB D AB D AB =+++

10F AB C AB C AB AB =?+?+?+?

则D 0 = 0 D 1 =D 2 = C D 3 = 1 ③ 画连线图

(2)用8选1①标准与或式

F ABC ABC ABC ABC =+++

F A B

1C

Y

1/2 74LS153

D 3D 2D 1D 0A 1A 0S

数字电路与系统分析第一章习题答案

数字电路与系统习题参考答案 南京邮电学院电子工程系 2003/12

习题答案 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1.3二进制数00000000~11111111和0000000000~1111111111分别可以代表多少个数? 解:分别代表28=256和210=1024个数。 1.4 将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1.6 将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1.7 将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1.8 转换下列各数,要求转换后保持原精度: 解:(1.125)10=(1.0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 ——先将2421BCD码转换成十进制数(252)10,再转换成二进制数。 (0110.1010)余3循环BCD码=(1.1110)2 ——余3循环BCD码中的1和0没有权值意义,因此先转换成十进制数(1.9)10,得出原精度为10-1,转换的二进制的小数位k≥3.3,因此至少取4位。 1.9 用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码

大工《数字电路与系统》课程考试模拟试卷A答案

大连理工大学网络教育学院 《数字电路与系统》课程考试模拟试卷答案 考试形式:闭卷试卷类型:A 一、单项选择题(本大题共6小题,每小题3分,共18分) 1.B 2.C 3.C 4.B 5.A 6.C 二、填空题(本大题共10空,每空2分,共20分) 1.(1) 213 (2) 12.04 (3) 40D (4) 10101001.1100 2. 三、化简题(本大题共3小题,每小题6分,共18分) =++ 1.答:F XYZ XY XY Z =+(3分) XY XY =(3分) Y 2.答:填卡诺图,圈1,得到最简与或表达式。

(3分) Y B AD ACD ∴ =++ (3分) 3.证明:0A ⊕ 00A A =+ (3分) A = (3分) 四、简答题(本大题共3小题,第1、2小题各7分,第3小题10分,共24分) 1.答: Q Q O O t t S R O O t t (错1处扣1分,图都正确7分满分) 2.答: ln( )DD T T DD T T V V V T RC V V V -+ +- -=- (3分)

3812 2.7 6.3 201010ln()s 12 6.3 2.7 --=????- (2分) 0.267ms 267μs == (2分) 3.答:(1)3 ref f 322821016V 210 V FSR R R ???===? (2分) (2)当123011X X X =时,333 166V 28o V FSR =-=-?=- (2分) 当123110X X X =时,366 1612V 28 o V FSR =-=-?=- (2分) (3),min 311 162V 28 o V FSR =-=-?= (2分) (4)3,max 3217 1614V 28 o V FSR -=-=-?=- (2分) 五、计算题(本大题1小题,共20分) 1.答:(1)分析。分别用变量A 、B 、C 代表三人的表决选择,变量取值可以为1和0,分别代表同意和反对,最后的表决结果为变量Y ,其取值0表示提议未通过,1表示提议通过。 (3分) (2)列出真值表,如下表所示。 五.1题真值表 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 (真值表3分) (3)化简逻辑函数,如下图(a )所示,得到 Y AB BC AC =++ (3分) (4)画出逻辑图,如下图(b )所示。 如果要求用与非门实现该逻辑电路,就应将表达式转换成与非-与非表达式: Y AB BC AC ABBC AC =++= (3分)

《数字电路》总复习题

09级4班《数字电路》总复习题(没有DAC 、ADC 部分!!) 一、填空题 1.在逻辑电路中,任意时刻的输出状态仅取决于该时刻输入信号的状态,而与信号作用前电路的状态无关,这种电路称为 。因此在电路结构上,一般由 组合而成。 2.(35)10=( )2, (10101)2=( )10 3. 电路能产生周期的脉冲波形; 电路可将正弦波变成矩形波。 4.三态门具有 、 、 三种状态,因此常用于 结构中。 5.右图所示的波形是一个 进制 (加、减)法计数器的波形。若由触发器组成该计数 器,触发器的个数应为 ,它有 个无效状态,分别为 和 。 6.组合逻辑电路的设计步骤为: ① ; ② ; ③简化和变换逻辑表达式,从而画出逻辑图。 7.欲将一个频率为10kH Z 的矩形波变换成频率为1kH Z 的矩形波,应选用 电路。 8.逻辑表达式C AB Y +A CD+A BD 的最小项之和的形式是: 。 9.分析组合逻辑电路的步骤为: ① ; ② ; ③ ; ④ 根据真值表和逻辑表达对逻辑电路进行分析,最后确定其功能。 10.为实现图逻辑表达式的功能,请将多余输入端C 进行处理(只需一种处理方法) 其中图Y 1、Y 2为TTL 电路,图Y 3、Y 4为CMOS 电路。 Y 1的C 端应 , Y 2的C 端应 , Y 3的C 端应 , Y 4的C 端应 。 11.在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前状态无关的逻 辑电路称为 ,而若逻辑电路的输出状态不仅与输出变量的状态有关,而且还与系统原 先的状态有关,则称其为 。 12.双极性三极管饱和工作状态的条件是 。 13.正与门与 门等效。 CP 0Q 1Q 2Q

数字电子技术基础课程设计 二十四秒计时器

绪论 本课程设计是数字逻辑电路知识的简单应用,利用计数器、译码器和与门、或门、与非门等门电路制作一个逆计数器。通过外部的开关控制,可控制不同的功能,本设计关键在于对计数器、门电路、译码器的运用和个人的逻辑思维。利用计数器计数,通过各种不同的门电路组合构成不同的反馈,从而形成24制计数规则,最后通过译码器和数码管输出显示。 1、设计的目的、要求及其技术指标 1.1设计的目的 1.学习基本理论在实践中综合运用的初步经验,掌握数字电路系统设计的基本方法、设计步骤,进一步熟悉和掌握常用数字电路元器件的应用。 2.学习和练习在面包板上接线的方法、技术、注意事项。 3.学习数字电路实物制作、调试、测试、故障查找和排除的方法、技巧。 4.培养细致、认真做实验的习惯。 5.培养实践技能,提高分析和解决实际问题的能力。 1.2设计的要求 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,蜂鸣器要报警、发光二极管亮灯。 1.3设计的技术指标 1.分析所设计的电路,确定参数,选择出一种最适合本课题的方案。在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。 2.运用仿真软件Multisim对电路进行仿真。观察效果并与课题要求的性能指标作对比。 3.搭建电路,进行调试。 2、方案设计的思路和过程 2.1系统框图

2.2总体设计思路 正如系统方框图一样,此方案主要分单元进行设计。由课题很显然方案的主体是计数器单元的设计,通过译码显示电路显示出来。这两者都需要被提供一个脉冲。其余的单元按照所给要求进行线路的设计即可。 3、单元电路的设计 3.1秒脉冲发生器 3.1.1 555定时器的电路结构和工作原理 内部结构: 工作原理: 译码显示 报警电路 计数器 秒脉冲 发生器 控制电路 启动 暂停/连续 直接清零

数字电路设计--------二十四进制计数器

数字电路设计 姓名:*** 学号:****************** 班级:电信111 专业:电子信息科学与技术 一.设计题目 二十四进制计数器的设计 二.设计要求 (1)要求学生掌握74系列的芯片和LED的原理和使用方法。 (2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。三.设计任务 (1)完成一个二十四进制的计数器。 (2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。23显示后,又从00重新开始计数。 四.设计思路与原理 (一)设计思路框图 →→→ → (二)LED简介 LED是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。七段发光管分别称为a、b、c、d、e、f,g,构成字型“8”,如图(a)

所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。不加电压则变暗,为了保护各段LED不被损坏,需外加限流电阻。 其真值表如下:

(三)原件总汇表:计数器74LS00D(U7A,U7B),74HC390N-6V(U3A,U6A),74LS47N(U1,U5);与门:时钟脉冲:显示器:发光二极管:电感:电容:电源 五.电路图仿真 二十四进制计数器电路仿真

六.心得体会 通过这一次的数字电路设计,是我更深的了解到了数字电路的基础知识,电路分析与计算的方法。利用仿真软件对电路进行一系列的分析仿真,更加抽象的将理论知识与实际电路结合在一起,加深了对数电一些基本定理的理解与运用。虽然在这学期中,数字电子技术基础学的不是很好,但是在这次的课程设计中通过同学的帮组还是完成了。虽然做的不是很好,但是从中也让我明白了:要想做好这个课程设计,就必须认认真真地去做,不要怕麻烦,遇到不懂的问题就要主动去问同学或者老师。和查阅材料,保持着一个积极向上的心态,发挥我们自己的主观能动性和创造了才能让我们做的更好。在这次课程设计中让我学到了很多东西,在经过我们一个学期的数字电子技术基础课后,我们已经对数字电子技术有一定的了解,让我们有了一定的基础可以独立完成数字电子技术基础课程设计了,不过当中还是遇到许多不懂的问题。

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

数字电路基础解析

第6章数字电路基础 教学重点 1.理解模拟信号与数字信号的区别。 2.掌握基本逻辑门、复合逻辑门的逻辑功能和电路图形符号,会使用真值表。 3.了解TTL、CMOS门电路的型号、引脚功能,会测试其逻辑功能。 4.了解集成门电路的外形与封装,能合理使用集成门电路。 5.会进行二进制数、十进制数和十六进制数之间的相互转换。 6.了解8421BCD码的表示形式。 7.会用逻辑代数基本公式化简逻辑函数,了解其在工程应用中的实际意义。教学难点 1.集成门电路的合理使用。 2.二进制数、十进制数和十六进制数之间的相互转换。 3.用逻辑代数基本公式化简逻辑函数。 学时分配

6.1逻辑门电路 电信号可分为两大类:一类是模拟信号,另一类是数字信号,如图所示。 (a ) (b ) 在数字电路中,通常用电位的高、低去控制门电路,输入与输出信号只有两种状态:高电平状态和低电平状态。 规定用1表示高电平,用0表示低电平,称为正逻辑,反之为负逻辑。 6.1.1基本逻辑门电路 数字电路中往往用输入信号表示“条件”,用输出信号表示“结果”,而条件与结果之间的因果关系称为逻辑关系,能实现某种逻辑关系的数字电子电路称为逻辑门电路。 基本的逻辑关系有:与逻辑、或逻辑、非逻辑,与之相应的基本逻辑门电路有与门、或门、非门。 做一做:与逻辑、或逻辑和非逻辑 1.与门电路 (1)与逻辑关系 当一件事情的几个条件全部具备之后,这件事情才能发生,否则不发生。这样的因果关系称为与逻辑关系,也称为逻辑乘。 (2)与逻辑关系的表示 用逻辑函数表达式表示 Y =A ·B 或Y =AB 用真值表表示(将全部可能的输入组合及其对应的输出值用表格表示称之为真值表)

数字电路考题和答案解析

浙江理工大学2005-2006学年《脉冲与数字电路》期末考试(A) 专业:自动化04()姓名:________学号得分:_______ 一、填空(共20分,1分/空) 1、将二进制数(1010101.0011)2分别转换成下列进制数:十进制数; 八进制数;十六进制数。 2、TTL集成电路中多发射极输入级既完成了的逻辑功能,又提高了电路 的。 3、已知CD =,其反函数的最简与或表达式 L+ A B 为。 4、要组成容量为16K×32位的ROM,需要片容量为4K×8位的 ROM。 5、在下列JK触发器、RS 触发器、D触发器和T触发器四种触发器中,同时具有保持、置1、置0和翻转功能的触发器是。 6、逻辑函数式A A⊕等于。 7、寄存器按照功能不同可分为两类:只读寄存器和随机寄存器。 8、常见的脉冲产生电路有,常见的脉冲整形电 路、。 9、一个基本RS触发器在正常工作时,它的约束条件是1= R,则它不允许输入= S +S 且= R的信号。 10.常用的BCD码有、、、

等。 二、判断题(10分,1分/题) 1、若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。() 2、数电技术中用的8421码不是恒权码。() 3、逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。() 4、TTL与非门的多余输入端可以接固定高电平。() 5、一般TTL门电路的输出端可以直接相连,实现线与。() 6、卡诺图是用图形来描述逻辑函数的一种方法。() 7、优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。() 8、环形计数器在每个时钟脉冲CP作用时,仅有一位触发器发生状态更新。() 9、施密特触发器有两个稳定的状态,但这两个状态依懒于输入信号的幅值。() 10、ROM存储器中的信息只能读出不能写入。

数字电路设计--------二十四进制计数器

数字电路设计 姓名: *** 学号: ****************** 班级:电信 111 专业:电子信息科学与技术 一.设计题目 二十四进制计数器的设计 二.设计要求 (1)要求学生掌握74系列的芯片和LED的原理和使用方法。 (2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。 三.设计任务 (1)完成一个二十四进制的计数器。 (2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。23显示 后,又从00重新开始计数。 四.设计思路与原理 (一)设计思路框图 →→→ → (二)LED简介 LED是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发 光二极管构成小数点。七段发光管分别称为a、b、c、d、e、f,g,构成字型“8”,如图 (a)所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。不加电压则变 暗,为了保护各段LED不被损坏,需外加限流电阻。

其真值表如下: (三)原件总汇表:计数器74LS00D(U7A,U7B),74HC390N-6V(U3A,U6A),74LS47N(U1,U5);与门:时钟脉冲:显示器:发光二极管:电感:电容:电源 五.电路图仿真 二十四进制计数器电路仿真

六.心得体会 通过这一次的数字电路设计,是我更深的了解到了数字电路的基础知识,电路分析与计算的方法。利用仿真软件对电路进行一系列的分析仿真,更加抽象的将理论知识与实际电路结合在一起,加深了对数电一些基本定理的理解与运用。虽然在这学期中,数字电子技术基础学的不是很好,但是在这次的课程设计中通过同学的帮组还是完成了。虽然做的不是很好,但是从中也让我明白了:要想做好这个课程设计,就必须认认真真地去做,不要怕麻烦,遇到不懂的问题就要主动去问同学或者老师。和查阅材料,保持着一个积极向上的心态,发挥我们自己的主观能动性和创造了才能让我们做的更好。在这次课程设计中让我学到了很多东西,在经过我们一个学期的数字电子技术基础课后,我们已经对数字电子技术有一定的了解,让我们有了一定的基础可以独立完成数字电子技术基础课程设计了,不过当中还是遇到许多不懂的问题。

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电子技术基础(整理笔记)

第一章数字逻辑基础 1.1 数字电路概述 1.1.1 数字电路与模拟电路 电子电路根据其处理的信号不同可以分为模拟电子电路和数字电子电路。 1.模拟信号和模拟电路 模拟信号:在时间上和数值上都是练习变化的信号。 模拟电路:处理模拟信号的电子电路。 2.数字信号和数字电路 数字信号:在时间上和数值上都是离散(变化不连续)的信号。 数字电路:处理数字信号的电子电路。 3.数字电路的特点 ①数字电路内部的晶体管(包括单、双极型)主要工作在饱和导通或截止状态;模拟电路内部的晶体管主要工作在放大状态。 ②数字电路的信号只有两种状态:高电平和低电平,分别对应于(或代表)二进制数中的1和0,表示信号的有或无,便于数据处理。 ③数字电路结构相对简单,功耗较低,便于集成。 ④数字电路抗干扰能力强。其原因是利用脉冲信号的有无传递1和0的数字信息,高低电平间容差较大,幅度较小的干扰不足以改变信号的有无状态。 ⑤数字电路不仅能完成数值运算,而且还能进行逻辑运算和比较判断,从而在计算机系统中得到广泛应用。 4.数字电路的分类 ①按电路的组成结构可分为分列元件电路和集成电路。 ②按数字电路集成度可分为小规模、中规模、大规模和超大规模集成电路。 ③按集成电路内部器件可分为双极型和单级型。 ④按电路的逻辑功能可分为组合逻辑和时序逻辑电路。 1.1.2脉冲波形参数 数字电路信号中,研究的对象是一些不连续的突变的电信号,作用时间很短,所以也称为脉冲信号。 脉冲信号波形形状很多,主要有方波、矩形波、三角波、锯齿波等。 ①脉冲幅度Um。脉冲电压变化的最大值,即脉冲波从波底至波顶之间的电压。 ②上升时间t r。脉冲波前沿从0.1Um上升到0.9Um所需的时间。 ③下降时间t f。脉冲波后沿从0.9Um下降到0.1Um所需的时间。 ④脉冲宽度t w。脉冲波从上升沿的0.5Um至下降沿0.5Um所需的时间。 ⑤脉冲周期T。在周期性脉冲信号中,任意两个相邻脉冲上升沿(或下降沿)之间的时间 间隔。 ⑥重复频率f(单位:Hz)。每秒脉冲信号出现的次数,即脉冲周期的倒数:f=1/T。 ⑦占空比q。脉冲宽度与脉冲周期的比值,q=t w/T。 1.2.1数制与编码

(完整版)数字电路期末复习试题和答案解析

数字电路期末复习题及答案 一、填空题 1、数字信号的特点是在时间上和幅值上都是断续变化的,其高电平和低电平常用 1 和 0 来表示。 2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。 3、逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、非三种。常用的几种导出的逻辑运算为与非或非与或非同或异或。 4、逻辑函数的常用表示方法有逻辑表达式真值表逻辑图。 5、逻辑函数F=A B C D+A+B+C+D= 1 。 6、逻辑函数F=AB A+ + += 0 。 B A B B A 7、O C门称为集电极开路门,多个O C门输出端并联到一起可实现线与功能。 8、T T L与非门电压传输特性曲线分为饱和区、转折区、线性区、截止区。 9、触发器有2个稳态,存储8位二进制信息要8个触发器。 10、一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S=0且R=0的信号。 11、一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是R S=0。 12、在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。 13、施密特触发器具有回差现象,又称电压滞后特性;单稳触发器最重 要的参数为脉宽。 14、半导体数码显示器的内部接法有两种形式:共阴接法和共阳接法。 15、对于共阳接法的发光二极管数码显示器,应采用低电平驱动的 七段显示译码器。 16、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。 17、时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时 序电路和异步时序电路。 二、选择题 1、一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 2、十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 3、以下表达式中符合逻辑运算法则的是D。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1

数字电子详细讲解

填空: 1.逻辑函数有四种表示方法,它们分别是(真值表、)、(逻辑图式)、(、逻 辑表达)和(卡诺图)。 2.将2011个“1”异或起来得到的结果是(0 )。 3.TTL器件输入脚悬空相当于输入(高)电平。 4.基本逻辑运算有: (与)、(或)和(非)运算。 5.采用四位比较器对两个四位数比较时,先比较(最高)位。 6.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 7.数字系统按组成方式可分为( 功能扩展电路) 、( 功能稳态电路) 两种; 8.两二进制数相加时,不考虑低位的进位信号是(半)加器。 9.时序逻辑电路的输出不仅和(该时刻输入变量的取值)有关,而且还与(该时刻电路 的状态)有关。 10.计数器按CP脉冲的输入方式可分为(同步计数器)和(异步计数器)。 11.触发器根据逻辑功能的不同,可分为(RS触发器)、(JK触发器)、(T触发器)、(T’ 触发器)、(D触发器)等。 12.根据不同需要,在集成计数器芯片的基础上,通过采用(反馈归零法),(预置数法), (进位输出置最小数法)等方法可以实现任意进制的技术器。 13.一个JK 触发器有(两)个稳态,它可存储(一)位二进制数。 14.若将一个正弦波电压信号转换成同一频率的矩形波,应采用(多谐振荡器)电路。 15.把JK触发器改成T触发器的方法是(J=K=T)。 16.N个触发器组成的计数器最多可以组成(2n)进制的计数器。 17.基本RS触发器的约束条件是RS=0 。 18.与非门的逻辑功能为(全1出0,有0出1)。 19.数字信号的特点是在(时间)上和(幅值)上都是断续变化的,其高电平 和低电平常用 1 和0 来表示。 20.三态门的“三态”指高电平,低电平和高阻状态。 21.逻辑代数的三个重要规则是代入规则、对偶规则、反演规则 22.为了实现高的频率稳定度,常采用石英晶体振荡器;单稳 态触发器受到外触发时进入暂稳态

数字电路知识点总结(精华版)

数字电路知识点总结(精华版)

————————————————————————————————作者:————————————————————————————————日期:

数字电路知识点汇总(东南大学) 第1章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A= ?1A A+1=1与0 ?A 0= A?=0 A A+=1与A 2)与普通代数相运算规律 a.交换律:A+B=B+A A? ? = A B B b.结合律:(A+B)+C=A+(B+C) ? A? B ? ? = (C ) C ( ) A B c.分配律:) ?=+ A? B (C A? ?B A C + A+ = +) B ? ) (C )() C A B A 3)逻辑函数的特殊规律 a.同一律:A+A+A

b.摩根定律:B B A+ = A ? A +,B B A? = b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则例如:C ? + A⊕ ⊕ ? B A C B 可令L=C B⊕ 则上式变成L ?=C + A A? L ⊕ ⊕ = L A⊕ B A 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式1)合并项法: 利用A+1 A= ? B ?,将二项合并为一项,合并时可消去 = +A = A或A B A 一个变量 例如:L=B + B A= ( C +) = A C A C B B C A 2)吸收法 利用公式A A?可以是? +,消去多余的积项,根据代入规则B A B A= 任何一个复杂的逻辑式 例如化简函数L=E AB+ + D A B 解:先用摩根定理展开:AB=B A+再用吸收法 L=E + AB+ A D B

数字电路习题讲解

《数字电路》习题讲解(10计算机科学与技术专业) 2011年12月

一、选择题 1.十进制数33的余3码为 C 。 A. 00110110 B. 110110 C. 01100110 D. 100100 2.二进制小数的补码表示为 D 。 A . B . C . D . 3.两输入与非门输出为0时,输入应满足 A 。 A .两个同时为1 B .两个同时为0 C .两个互为相反 D .两个中至少有一个为0 4.某4变量卡诺图中有9个“0”方格7个“1”方格,则相应的标准与或表达式中共有多少个与项 B ? A . 9 B .7 C .16 D .不能确定 5. 下列逻辑函数中,与A F =相等的是 B 。 )(A 11⊕=A F )(B A F =2⊙1 )(C 13?=A F )(D 04+=A F 6. 设计一个6进制的同步计数器,需要 A 个触发器。 )(A 3 )(B 4 )(C 5 )(D 6 7. 下列电路中,属于时序逻辑电路的是 C 。 )(A 编码器 )(B 半加器 )(C 寄存器 )(D 译码器 8. 列电路中,实现逻辑功能n n Q Q =+1的是 A 。 )(A )(B 9. C 的输出端可直接相连,实现线与逻辑功能。 )(A 与非门 )(B 一般TTL 门 )(C 集电极开路OC 门 )(D 一般CMOS 门 10.以下代码中为无权码的为 CD 。 A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D . 格雷码 11.逻辑函数L (A,B,C )=A+BC 对应的最小项标准表达式为( )。 A 、L (A,B,C )=Σ(3,4,5,6) B 、L (A,B, C )=Σ(3,4,5,6,7) C 、L (A,B,C )=Σ(2,4,5,6,7) D 、L (A,B,C )=Σ(1,3,5,7) 12.一位十六进制数可以用 C 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 CP Q CP Q CP Q 0 CP

数字电路与系统期末考试题

机密★启用前 大连理工大学网络教育学院 2019年秋《数字电路与系统》 期末考试复习题 ☆注意事项:本复习题满分共:400分 一、单项选择题 1、实现或运算逻辑功能的逻辑器件称为()。 A.非门B.与门 C.或门D.与或非门 2、四变量卡诺图共有()个小格。 A.4 B.8 C.12 D.16 3、编码器的功能是把输入信号编成()进制代码。 A.二B.八 C.十D.十六 4、()是算术运算的基本单元。 A.译码器B.编码器 C.加法器D.数据比较器 5、如果逻辑电路在较慢速度下工作,为了消去竞争冒险,可以如何操作?() A.在输入端串联一个电容器B.在输入端并联一个电容器 C.在输出端串联一个电容器D.在输出端并联一个电容器 6、时钟RS触发器输入端S=R=0时,CLK=1,则触发器()。 A.两个输出端同时变为1 B.次态为0 C.次态为1 D.保持原态 7、寄存器是由具有存储功能的触发器组合起来构成的,一个触发器可以存储()位二进制代码。A.1 B.2 C.3 D.4

8、555定时器构成的施密特触发器上限阈值电压是Vcc的()倍。 A.1/3 B.1/2 C.2/3 D.1 9、对于某个输入数字,实测输出值与理论输出值之()称为绝对误差。 A.和B.差 C.积D.商 10、ADC0816是一个()ADC。 A.二位B.八位 C.十二位D.十六位 11、在有两个输入端A、B的二极管或门电路中,什么条件下输出F为低电平?() A.A=1,B=1 B.A=1,B=0 C.A=0,B=1 D.A=0,B=0 12、A+AB=() A.A B.B C.AB D.A+B 13、7485是一个()。 A.译码器B.编码器 C.触发器D.数值比较器 14、TTL维持阻塞D触发器在()触发。 A.时钟脉冲上升沿B.时钟脉冲下降沿 C.时钟脉冲上升沿和下降沿都可D.时钟脉冲上升沿和下降沿都不可 15、用()辅以数据选择器,可以构成各种序列信号发生器。 A.触发器B.计数器 C.编码器D.译码器 16、TTL与非门组成的微分型单稳态触发器的恢复时间等于()倍的RC。 A.1~2 B.1~3 C.2~3 D.3~5 17、74121的输出暂稳态脉冲宽度为()RC。 A.0.7 B.1 C.1.1 D.1.4 18、R-2R倒梯形电阻网络DAC电路的模拟电压输出与R-2R梯形电阻网络DAC电路的模拟电压输出相

数字电子技术基础第五版期末知识点总结..

数电课程各章重点 第一、二章 逻辑代数基础知识要点 各种进制间的转换,逻辑函数的化简。 一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码 .8421码 二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非 三、逻辑代数的基本公式和常用公式、基本规则 逻辑代数的基本公式 逻辑代数常用公式: 吸收律:A AB A =+ 消去律:B A B A A +=+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A ?=+ B A AB B A B A +=+ 基本规则:反演规则和对偶规则,例1-5 四、逻辑函数的三种表示方法及其互相转换 逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答 1、 利用公式法对逻辑函数进行化简 2、 利用卡诺图对逻辑函数化简 3、 具有约束条件的逻辑函数化简 例1.1 利用公式法化简 BD C D A B A C B A ABCD F ++++=)( 解:BD C D A B A C B A ABCD F ++++=)( BD C D A B A B A ++++= )(C B A C C B A +=+ BD C D A B +++= )(B B A B A =+

C D A D B +++= )(D B BD B +=+ C D B ++= )(D D A D =+ 例1.2 利用卡诺图化简逻辑函数 ∑=)107653()(、、、、 m ABCD Y 约束条件为 ∑8)4210(、、、、 m 解:函数Y 的卡诺图如下: 00 01 11 1000011110AB CD 111 × 11××××D B A Y += 第三章 门电路知识要点 各种门的符号,逻辑功能。 一、三极管开、关状态 1、饱和、截止条件:截止:T be V V <, 饱和:β CS BS B I I i => 2、反相器饱和、截止判断 二、基本门电路及其逻辑符号 与门、或非门、非门、与非门、OC 门、三态门、异或; 传输门、OC/OD 门及三态门的应用 三、门电路的外特性 1、输入端电阻特性:对TTL 门电路而言,输入端通过电阻接地或低电平时,由于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。 习题2-7 5、输出低电平负载电流I OL 6、扇出系数N O 一个门电路驱动同类门的最大数目 第四章 组合逻辑电路知识要点

数字电路基础知识

电工电子技术及应用教案(9-1) 【课题编号】 ××-09-01 【课题名称】 数字电路基础知识 【教学目标】 应知: 1.了解数字电路的特点;了解晶体管的开关特性; 2.掌握数字电路常用数制及其相互转换; 3.了解8421BCD码的表示形式。 应会: 会进行二进制、十进制、十六进制数的相互转换。 【教学重点】 数字电路的特点;常用的数制与码制。 【难点分析】 晶体管的开关特性;数制间的相互转换。 【学情分析】 由本节进入数字电路的学习,选用多媒体动画展示数字电路在通信技术、自动控制和测量仪表等技术领域中的应用,引入课题,通过阐述数字电路的特点让学生明确本章内容所研究的对象特点,由多媒体课件演示晶体管的开关特性,增强学生的感性认识。通过举例分析,帮助学生了解数制和码制的概念,掌握二进制、十六进制、十进制数间的转换。 【教学方法】 讲练法、对比法、演示法 【教具资源】 多媒体课件 【课时安排】 2学时(90分钟) 【教学过程】 一、导入新课 【多媒体演示】数字电路的应用 联系生活实际,引导同学们关注数字电路在通信技术、自动控制、测量仪表和日常生活领域中的应用。 二、讲授新课 教学环节1:数字信号及数字电路的特点 1.数字信号 教师活动:投影模拟信号和数字信号的波形,引导学生观察分析; 学生活动:观察模拟信号和数字信号的波形,比较它们的不同,分析数字信号的特点; 教师活动:总结,指出数字电路的特点。 2.晶体管的开关特性

【多媒体演示】二极管、三极管的开关特性 教师活动:展示二极管、三极管做开关使用的动画; 学生活动:观察二极管导通、截止;三极管饱和、截止时相当于的“开关”作用,体会数字信号形成的过程。 教学环节2:数制和码制 1.数制 教师活动:举例说明十进制、二进制的十六进制,介绍二进制数和十进制数相互转换的规律; 学生活动:巩固练习二进制数、十六进制和十进制数的相互转换。 2.码制 教师活动:举例说明码制,介绍十进制数和8421BCD码相互转换的规律; 学生活动:巩固练习十进制数和8421BCD码的相互转换。 三、课堂小结 二进制、十六进制数和十进制数的相互转换规律: 二、十六进制数转换为十进制数:数码乘权再相加; 十进制数转换为二、十六进制数:除基数(2、16)取余倒记法。 四、课堂练习 《学习指导与练习》; 五、课后作业 【板书设计】 【教学后记】

相关文档
最新文档