eda课程设计自动售货机的设计说明

eda课程设计自动售货机的设计说明
eda课程设计自动售货机的设计说明

电子信息科学与技术专业课程设计任务书

一.设计说明

根据要求可自动出售两种货物,本文设计的自动售货机可销售cola 和pepsi两种饮料:售货机可识别1元和0.5元的两种货币,在一次购买过程中,可购买一个或多个商品,系统会自动计算所需钱数和找零的钱数并自动找零。另外有3个发光二极管、6个LCD数码管:两个用来显示所需金额,两个用来显示已付金额,两个用来显示找零数。

1.1.1 流程说明

本文设计的自动售货机当通电时,表示一次销售的开始。顾客选择一种商品或多种商品后就进入投币状态。若不投币,则自动返回初始状态。投币后,系统自动计算所投钱数。若投币够,则出货并找零。若投币不够,如果顾客没有继续投币,则退币并回到初始状态。本系统的投币销售流程图如图所示:

1.1.2各模块说明

本文设计的自动售货机总体分三个模块:总控模块,二进制译码模块、BCD 码译码模块。

总控模块:总控模块是本系统最重要的模块,该模块大体有5个输入端口和6个输出端口。其输入端口包括clk、coin1(投入一元货币)、coin5(投入0.5元货币)、cola(选择cola)、pepsi(选择pepsi),输出端口有paid(已投入多少钱)、needed(还需多少钱)moneyout(找零)、success(灯亮表示交易成功)、failure(灯亮表示交易失败)、showmoneyout(灯亮表示正在找零)。该模块实现了本系统最重要的交易过程,包括选择商品、投入货币,计算剩余金额,找零出货等。

二进制译码模块:该模块有一个输入端口和两个输出端口。输入端口是一个8位的二进制数输出端口bcd0、bcd1是两个4位的BCD码。该模块的主要的功能是实现将主控模块输出的二进制数(paid、needed、moneyout)转换成BCD 码,以便输出到七段数码管上显示出来。该模块的原理是将一个8位的二进制转换成2个4位的BCD码,分为高四位和低四位。

BCD码译码模块:该模块有一个输入端口和一个输出端口

1.1.3 程序设计

主控模块完整程序如下:

Library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity shouhuoji is

port(clk: in std_logic;

coin1:in std_logic;

coin5:in std_logic;

cola:in std_logic;

pepsi:in std_logic;

paid:out std_logic_vector(7 downto 0);

needed:out std_logic_vector(7 downto 0);

success:out std_logic;

failure:out std_logic;

showmoneyout:out std_logic;

moneyout:out std_logic_vector(7 downto 0));

end shouhuoji;

architecture behav of shouhuoji is

type state_type is (qa,qb,qe,qc,qg,qd,qf);--定义七个状态

signal current_state :state_type:=qa;

signal q:integer range 0 to 100;

begin

process(clk)

variable paidtemp:std_logic_vector(7 downto 0);--定义变量variable neededtemp:std_logic_vector(7 downto 0);

variable backmoney:std_logic_vector(7 downto 0);

variable pricetemp:std_logic_vector(7 downto 0);

begin

if clk'event and clk='1' then

case current_state is

when qa=>paidtemp:="00000000";neededtemp:="00000000";

backmoney:="00000000";pricetemp:="00000000";q<=0;

showmoneyout<='0';moneyout<="00000000";paid<="00000000";

needed<="00000000";failure<='0';success<='0';

if cola='1' or pepsi='1' then current_state<=qb;

if cola='1' then pricetemp:=pricetemp+"00001111";

neededtemp:=pricetemp;

Else

pricetemp:=pricetemp+"00010100";

neededtemp:=pricetemp;

end if;

end if;

paid<=paidtemp;

needed<=neededtemp;

when qb=>if coin1='1' or coin5='1' then

if coin1='1'then paidtemp:=paidtemp+"00001010";

else

paidtemp:=paidtemp+"00000101";

end if;

if paidtemp>=pricetemp then backmoney:=paidtemp-pricetemp; neededtemp:="00000000";current_state<=qd;

else neededtemp:=pricetemp-paidtemp;backmoney:="00000000"; current_state<=qc;q<=0;

end if;

paid<=paidtemp;

needed<=neededtemp;

end if;

if q<8 then q<=q+1;

if cola='1' or pepsi='1'then q<=0;

if cola='1' then pricetemp:=pricetemp+"00001111"; neededtemp:=neededtemp+"00001111";

else

pricetemp:=pricetemp+"00010100";

neededtemp:=neededtemp+"00010100";

end if;

paid<=paidtemp;

needed<=neededtemp;

end if;

else current_state<=qe;q<=0;

end if;

when qe=>failure<='1';

if q<4 then q<=q+1;

else current_state<=qa;q<=0;

end if;

when qc=>if coin1='1' or coin5='1' then

if coin1='1'then paidtemp:=paidtemp+"00001010";

else

paidtemp:=paidtemp+"00000101";

end if;

if paidtemp>=pricetemp then

backmoney:=paidtemp-pricetemp;

neededtemp:="00000000";current_state<=qd;

else neededtemp:=pricetemp-paidtemp;backmoney:="00000000"; current_state<=qc;

end if;

paid<=paidtemp;

needed<=neededtemp;

end if;

if coin1/='1'and coin5/='1' then

自动售货机PLC系统设计

+ 安徽汽车职业技术学院毕业设计(论文) 学生姓名:王家伟 系部:机电系 专业:机电一体化 班级:14-04班 指导老师;李明

自动售货机的PLC控制系统设计 摘要:本文介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体说明了可编程序控制器在自动售货机中的作用。程序涉及到了自动售货机工作的绝大部分过程。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词自动售货机;可编程序控制器;梯形图 Abstract:This paper describes the basic principle of the vending machine and the working process, and then take a transaction process, the transaction process is divided into several block, then respectively for programming block. The concrete expression of the role of programmable controller in the vending machine. Program involves the vending machine work most of the process. Using PLC control of automatic vending machine to improve the stability of the system, and the vending machine to ensure the long-term stable operation. Keywords:The vending machine; Programmable controller; Ladder diagram

自动售货机控制系统的设计(最终版)

课程设计任务书 设计题目:饮料自动售货机PLC控制系统设计 学院:机械工程学院 专业:机械测控 班级: 姓名: 组员: 指导老师:

【摘要】 本文介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体说明了可编程序控制器在自动售货机中的作用。程序涉及到了自动售货机工作的绝大部分过程。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:自动售货机;可编程序控制器;梯形图 Abstract:This paper introduces the basic principles of vending machines, as well as workflow, and then to a transaction process as an example, the transaction process is divided into several blo ck, and then the block were programmed. Specify the PLC in the r ole of vending machines. Procedures related to the work of the va st majority of vending machine process. PLC control of the use of vending machines enhance the stability of the system to ensure t hat vending machines capable of long-term stable operation. Key words:Vending machines; PLC; Ladder Diagram 一.PLC的概述 1.1 PLC的产生

自动售货机控制系统设计

综合课程设计报告书 自动售货机控制系统设计 题目: 学院:机械与电气工程学院 专业:电气工程及其自动化 班级:13级2班 姓名:付少官 学号: 指导教师:崔茂齐 教师职称:讲师 2016年6月29日

机械与电气工程学院综合课程设计任务书 专业:电气工程及其自动化班级:2013-2 姓名:付少官 自动售货机控制系统设计 (1) 摘要 (1)

Abstrct (1) 1、绪论 (1) 2、自动售货控制系统设计总体方案 (2) 元器件选择 (2) 开发工具 (2) 3、自动售货控制系统硬件设计 (3) 硬件总体框图 (3) AT89C51单片机模块(介绍本次设计所到的功能) (3) (3) (4) 本次所应用的管脚说明 (4) 单片机驱动模块 (5) 选择按键模块 (5) (6) (6) 系统显示模块 (6) 数码管显示器 (6) 显示器的驱动电路 (7) 出货以及退币模块 (8) 4、自动售货控制系统软件设计 (8) 系统流程图 (8) 元器件计算 (9) 5、系统总电路图及调试仿真 (10) 总电路图 (10) 系统调试 (11) 系统仿真 (11) 总结及致谢 (14) 参考文献 (15) 附录 (16) 附录1、元件清单 (16) 附录2、源程序 (16) 附录3、自动售货机控制系统protel99se原理图 (23)

自动售货机控制系统设计 付少官 摘要本文详细介绍了自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。本系统选择独立式键盘按键作为货物选择端,实现了投币总数的显示功能。此外,系统程序采用keil软件进行程序的编写和编译,该软件具有编程简单、查错方便、阅读容易等特点。汇编语言是程序的基本语言,具有容易理解,便于记忆和使用等特点。 关键词:自动售货机,AT89C51,LCD显示 Abstrct T his paper describes a vending machine system design, hardware selection, software planning and preparation, and describes the focus of the work vending machine system theory, system design, software programming principles and techniques. AT89C51in the system as the core chip, the realization of a centralized control of the vending machine's automatic control the entire process. Choice of the system as a stand-alone keyboard keys to choose the goods side, the realization of the coin shows the total number of features. In addition, the system program using keil software to prepare and compile procedures, the software programming is simple, convenient error checking, easy to read and so on. Assembly language is the basic language program with easy to understand, easy to remember and use and so on. Key words:Vending Machines,AT89C51,LED Display 1、绪论 自动售货机是一种全新的商业零售形式,从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 随着科技的发展及人们生活水平的提高,自动售货机市场越来越呈现出多元化及个性化的需求。人们通过自动售货机自助购物,这种简单、快捷的方式正逐渐成为市民的一种新的消费时尚,并且满足了人们在当今科技高速发展的现代社会追求高品质生活的需要。自动售货机在城市商业区、写字楼大厅、风景区、车站、码头、繁华街道等公共场所的布设能够美化城市环境,方便群众生活,它已经成为城市各角落的一道亮丽风景线。 自动售货机必将在国内普及,并成为城市现代文明程度的一种象征性标志。本文详细介绍了如何控制、要求进行自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

plc课程设计---自动售货机控制

单片机课程设计 P L C课程设计报告 学生姓名学号 班级 专业电气工程及其自动化 题目自动售货机控制 指导教师 2012 年 5 月

一、设计指标 1.掌握可逆计数器指令的使用及编程 2.掌握自动售货机控制系统的接线、调试、操作 二、设备 序号名称型号与规格数量备注 1实训装置THPFSM-1/2 1 2实训挂箱A16 1 3导线3号若干 4通讯编程电缆PC/PPI 1 西门子 5实训指导书THPFSM-1/2 1 计算机(带编程 1 自备 6 软件) 三、面板图 +

四、控制要求 1.总体控制要求:如面板图所示,钢板从右侧送入,在M2、M1、M3电机的带动下,经过三次轧压后从左侧送出。 2.打开“SD”启动开关,系统开始运行,钢板从右侧送入,打开“S1”开关,模拟钢板被检测到,MZ1、MZ2、MZ3点亮,表示电机M1、M2、M3正转,将钢板自右向左传送。同时指示灯“A”点亮,表示此时只有下压量A作用。 3.钢板经过轧压后,超出“S1”传感器检测范围,电机“M2”停止转动。 4.钢板在电机的带动下,被传送到左侧,被“S2”传感器检测到后,MF1、MF2、MF3点亮,表示电机M1、M2、M3反转,将钢板自左向右传送。同时指示灯“A”、“B”点亮,表示此时有下压量A、B一起作用。 5.钢板在电机的带动下,被传送到右侧,被“S1”传感器检测到后,MF1、MF2、MF3点亮,表示电机M1、M2、M3反转,将钢板自左向右传送。同时指示灯“A”、“B”“C”点亮,表示此时有下压量A、B、C一起作用。 6.钢板经过轧压后,超出“S1”传感器检测范围,电机“M2”停止转动 7.钢板传送到左侧,被“S2”传感器检测到后,电机“M1”停止转动。 8.钢板从左侧送出后,超出“S2”传感器检测范围,电机“M3”停止转动。 9.“S1”传感器再次检测到钢板后,根据2至8的步骤完成对钢板的轧压。 10.在运行时,断开“SD”开关,系统完成后一个工作周期后停止运行。 五、功能指令使用及程序流程图 1.加法计数器指令使用

PLC的自动售货机的课程设计

湖南工学院课程设计说明书 课题名称:自动售货机的控制设计 专业名称:自动化 学生班级:自本0701 学生学号: 410070131 学生姓名:冯源 指导老师:陆秀令

课程设计任务书 自动售货机的控制设计 一、控制要求 1.自动售货机有3个投币孔,分别为1元、5元和10元。 2.售货共有3种饮料供选择,分别为汽水、花茶和咖啡。 3.如投币总额超过销售价格,将可由退币钮找回余额。 4.投币总额或当前值显示在7段数码管上。 5.投币值等于或大于12元时,汽水指示灯亮,表示只可选择汽水。 6.投币值等于或大于15元时,汽水和花茶指示灯亮,表示只可选择汽水和花茶。 7.投币值等于或大于20元时,汽水、花茶和咖啡指示灯亮,表示3种均可选择。 8.按下要饮用的饮料按钮,则相对应的指示灯开始闪烁,3s后自动停止,表示饮料已经掉出。 9.动作停止后按退币钮,可以退回余额,退回金额如果大于10元,则先退10元再退1元,如果小于10元则直接退1元的。 二、设计任务 学生根据控制要求,明确设计任务,拟定设计方案与进度计划,运用所学的理论知识,进行自动售货机运行原理设计、硬件系统设计、软件系统设计、创新设计,提高理论知识工程应用能力、系统调试能力、分析问题与解决问题的能力。主要内容包括: 1. 设计出硬件系统的结构图、接线图、时序图等; 2. 系统有启动、停止功能; 3. 运用功能指令进行PLC控制程序设计,并有主程序、子程序和中断程序; 4. 程序结构与控制功能自行创新设计; 5. 进行系统调试,实现自动售货机的控制要求。

三、设计报告 课程设计报告要做到层次清晰,论述清楚,图表正确,书写工整;详见“课程设计报告写作要求”。

EDA课程设计报告资料

课程设计 设计题目: 学生姓名: 学号: 专业班级: 指导教师: 2015年月日

设计 题目成绩 课 程 设 计 主 要 内 容 指 导 教 师 评 语 签名:20 年月日

设计题目:测量放大器电路原理图和PCB板设计 一、实验目的 1.了解学习Protel 99SE的目的与意义; 2.掌握Protel 99SE绘制电路原理图方法与技巧; 3.掌握PCB设计方法与技巧。 二、实验要求 1.利用Protel 99SE绘制一张电路图; 2.对绘制好的电路图进行ERC检查; 3.生成网络表; 4.生成元件列表; 5.利用Protel 99SE完成对应的双面印刷电路板设计。 三、功率放大器设计 实验原理图如下图所示: 图1

四、protel制图 4.1设计电路原理图 1.电路原理图 电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的设计一般有如下步骤: (1)设置原理图设计环境; (2)放置元件; (3)原理图布线; (4)编辑和调整; (5)检查原理图; (6)生成网络表。 2.设计印刷电路板 印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。通常,印刷电路板设计的具体步骤如下: (1)规划电路板; (2)设置参数; (3)装入网络表; (4)元器件布局; (5)自动布线; (6)手工调整。 4.2 绘制测量放大器电路原理图 原理图设计最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下力求美观。根据以上所述的电路原理图设计步骤,两级放大器电路原理图设计过程如下: 1.启动原理图设计服务器 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。如图2

PLC课程设计---自动售货机控制系统的设计

电气控制技术课程设计任务书课程设计说明书 名称自动售货机控制系统的设计院系 班级 姓名 学号 系主任 教研室主任 指导教师

一任务描述: 自动售货机是可完成无人自动售货的商业自动化设备,它不受任何场地限制,方便快捷,在我国也越来越普及。传统的自动售货机采用单片机作为控制核心,但这样在输入输出接口上消耗很大。PLC不但可实现类似控制功能,还具有可靠性高、编程简单、功能强、能耗低、调试方便等优点。本文设计的是售汽水和咖啡这两种饮料的自动饮料机,它的售货过程是:首先由顾客按下商品选择开关时,然后顾客投入的硬币(投入硬币的面值和剩余值由PLC驱动数码管显示)经过光传感器感应,再由光传感器驱动硬币识别传感器识别硬币(由于能力有限,在识别硬币上不做具体研究)。如果是无效币则使继电器打开阀门,将硬币退出;如果是真币则系统将硬币自动传送到相应的硬币贮币腔,并经硬币识别传感器将信号送给PLC。最后经顾客选择,同时PLC控制,使PLC的输出口上有相应的信号输出。若贮币腔内无硬币(红外检测器感应)、饮料的量位小于设定值(红外传感器感应)、售货机遭损,系统就报警【7】。大体运行如图2-1: 图2-1自动售货机控制系统硬件组成示意图

图2-2是自动售货机简单示意图。在该机中有两种已经配制好的饮料储液桶(未画出来),一种为汽水,另一种为咖啡。汽水出口和咖啡出口分别代表由两个电磁阀控制放入杯中的饮料品种的饮料出口。 咖啡指示灯投币不足指示灯 图2-2自动售货机简单示意图 二控制要求 (1)自动售饮料机可投入1角、5角、1元的硬币。 (2)所售饮料标价:汽水——2元,咖啡——3元。 (3)投币总额或现在值显示在7段数码管上。 (4)当投入的硬币总值超过所购饮料的标价时,所有可购买饮料的指示灯均亮,作可购买提示。(如:当投入的硬币总值超过2 元,汽水指示灯亮;当投入的硬币总值超过3元时,汽水、咖

自动贩卖机电子技术课程设计..

目录 综述 (1) 1方案比较与选定 (2) 2方案设计与分析 (2) 2.1逻辑抽象 (2) 2.2单元模块设计 (3) 2.2.1可供选择商品的指示灯模块 (3) 2.2.2商品输出模块 (5) 2.2.3找零模块 (6) 3整体电路及仿真 (8) 3.1电路原理 (8) 3.2电路仿真 (9) 3.2.1投一元买矿泉水 (9) 3.2.2投一块五买饮料 (10) 3.2.3投两元买饮料并找零 (11) 心得体会 (12) 参考文献 (13)

哈尔滨工业大学电子技术课程设计 综述 自动售货机是能根据投入的钱币自动付货的机器。一般的自动售货机由钱币装置、指示装置、贮藏售货装置等组成。钱币装置是售货机的核心,其主要功能是确认投入钱币的真伪,分选钱币的种类,计算金额。如果投入的金额达到购买物品的数值即发出售货信号,并找出余钱。指示装置用以指示顾客所选商品的品种。贮藏售货装置保存商品,接收出售指示信号,把顾客选择的商品送至付货口。一般售货机的钱币装置由投币口、选别装置、确认钱币真伪的检查装置、计算金额的计算装置和找钱装置组成。七十年代以来,出现了采用微型计算机控制的各种新型自动售货机和利用信用卡代替钱币并与计算机连接的更大规模的无人售货系统,如无人自选商场、车站的自动售票和检票系统、银行的现金自动支付机等。 本设计用JK触发器和基本逻辑门电路通过时序电路的设计方法完成了一种自动贩卖机的设计,该贩卖机能够售卖三种商品,要求系统能够自动完成:投币识别,价格比较,选择商品同时对应的指示灯亮,输出商品和找零过程。 1

自动贩卖机逻辑电路设计 1方案比较与选定 方案一:采用开关控制的计数器,通过加法器投硬币的方式将来确定投入的数值大小,再通过控制减法器的方式来减掉花费的数值,输出需要找回的数值,原理简单,设计也相对简约,思路清晰便于操作。逻辑电路图如图1-1所示。 图1-1 自动贩卖机逻辑电路图 方案二:采用JK触发器和基本逻辑门电路通过时序电路的设计方法完成了一种自动贩卖机的设计,具体思路是通过传感器产生的硬币信号,在电路中输入不同的状态,来表示所投硬币的数值,然后根据所需,通过开关电路,确认各种状态,达到目的。优点是采用简单触发器,和简单门电路就设计出方案。 综上所述,采取方案二,尽管稍微有些复杂,但是可以使我对时序逻辑电路的设计方法有更深的理解。该方案成本低,性价比高。 2方案设计与分析 2.1逻辑抽象 输入变量: 2

EDA课程设计报告

湖北职业技术学院《EDA技术》课程设计报告 题目动态输出4位十进制频率计的设计所在学院电子信息工程学院 专业班级电信08304 学生姓名马强 学号08024839 指导教师王芳 完成日期2010年11月18 日

目录 一、概述 (3) 二、设计正文 (4) (一)设计目的 (4) (二)设计实现 (4) 1、端口说明 (4) 2、Cnt10模块说明 (5) 3、Tctl模块说明 (6) 4、锁存器reg16模块说明 (8) 5、Scan_led模块说明 (9) 6、顶层文件仿真 (10) 7、硬件下载 (11) 三、总结 (13) 四、感言 (14) 五、参考文献 (15)

概述 此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计 一、目的 1.学习掌握频率计的设计方法。 2.掌握动态扫描输出电路的实现方法。 3.学习较复杂的数字系统设计方法 二、设计实现 4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。 (1)端口说明 F1Hz:给Tctl模块提供1Hz的频率输入。 Fin:被测频率输入。 scan_led:给scan_led模块提供扫描输入频率输入。 bt[1..0]:片选信号输出。 sg[6..0]:译码信号输出。 cout:进位输出。

plc自动售货机说明书

《电气控制与PLC》综合训练 说明书 专业名称:电气自动化技术 班级: 学号: 姓名: 指导教师: 日期:年月日

《电气控制与PLC》综合训练课程设计评阅书

本实验设计基于西门子S7-200系列PLC进行自动售货机控制系统的设计,阐述了自动售货机系列设计的基本原理及工作流程,按后以一次交易过程为例,把交易分成了几个程序模块,分别用PLC进行编写。文中的梯形图使用西门子PC梯形图编译软件STEP7来进行编写,并完成了PLC外部接线图。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:售货机;PLC;控制系统

1课题描述 (1) 2设计过程 (2) 2.1自动售货机的设计要求 (2) 2.2控制系统的I/O点及地址分配 (2) 2.3 PLC系统选型 (2) 2.4 PLC外围接线图 (5) 2.5系统程序设计 (6) 3总结 (9) 4参考文献 (10)

1 课题描述 自动售货机的概况 自动售货机(vending machine),能根据投入的钱币自动付货的机器。自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。近几年,随着我国商品市场的不断繁荣和城市现代化程度的不断提高,自动售货机也已悄然步入了我国的大中城市。在国家相关政府部门的大力支持下,我国的自动售货机产业以超常规的速度迅猛发展,并带动了一批新的服务业、物流运输配送业的快速发展,丰富了我国的商业产业结构,开创了一个全新的自动销售和自助服务时代。我国自动售货机市场到2008年以后将进入发展期。 本文介绍一种能自动销售汽水和咖啡的售货机的PLC控制系统。主要从控制要求、控制系统的I/O点及地址分配、PLC系统选型、电气控制系统原理图、系统程序设计、PLC的安装、电源设计、系统的接地、PLC 输出端保护等方面来展开说明与论述。

基于VHDL的自动售货机的设计与实现

自动售货机实验报告 一、设计任务 设计一个自动售货饮料机,设每瓶饮料2.5元,投币口有两个,1元硬币(one)或5角硬币(half),每个时刻可以输入其中一种硬币,自动售货机有找零钱功能,oute为出货,outm为找零。 二、设计过程 1.设计思路: 状态定义:s0表示初态,s1表示投入5角,s2表示投入1元,s3表示投入1元5角,s4表示投入2元,s5表示投入2元5角,s6表示投入3元。 输入信号:state_outputs(0)表示输入货物,comb_outputs(1)表示找5角硬币,输入信号为1 表示投入硬币,输入信号为1表示未投入硬币。 输出信号:comb_outputs(0)表示输出货物,comb_outputs(1)表示找5角零钱,输出信号为1表示输出货物或找零,输入信号为0表示不输出货物或找零。 根据设计要求分析,得到状态转化图如下图所示,其中状态为s0、S1、S2、S3、S4、S5、S6;输入为state_inputs(0,1);输出为comb_outouts(0,1);输入仅与状态有关,因此将输入写在状态圈内部。

2.设计步骤: (1)创建工程。打开QuartusII ,创建一个新的工程并命名。根据实验室条件,选择的芯片为cyclone 系列中的EP1C6Q240C8芯片。 00

输入VHDL文本文件。新建文本文件VHDL file。输入程序并保存。程序如下:library ieee; use ieee.std_logic_1164.all; entity autosell is port(clk,reset :in std_logic; state_inputs:in std_logic_vector(0 to 1); comb_outputs:out std_logic_vector(0 to 1)); end autosell; architecture be of autosell is type fsm_st is(s0,s1,s2,s3,s4,s5,s6); signal current_state,next_state:fsm_st; begin reg:process(reset,clk) begin if reset='1'then current_state<=s0; elsif rising_edge(clk)then

自动售货机报告C语言课程设计

自动售货机图形模拟系统 需求分析 课题名称:自动售货机图形模拟系统 小组成员:朱伟鑫U201314490 班级:测控1301班 指导老师:周纯杰、何顶新、彭刚、周凯波 上交日期:贰零壹肆年玖月贰拾壹日

目录 1.引言--现实中的自动售货机 (2) 2.运行环境 (3) 3.功能设计 (3) 3.1触摸屏 (3) 3.2自动换零 (3) 3.3销量统计 (3) 3.4提前补货 (4) 3.5显示商品信息 (4) 3.6自动售货机网络 (4) 3.7无响应自动处理 (4) 4.软件系统需求分析 (4) 4.1图形显示 (4) 4.2菜单模块 (5) 4.3交易模块 (5) 4.4管理模块 (5) 5.系统流程图 (5) 6.时间安排 (6)

自动售货机图形模拟系统 朱伟鑫 课题要求 以自动售货机为对象,图形化模拟投币、找零、出货的基本流程;能对售货机的商品进行管理:补货、查询、统计等功能。 1引言--现实中的自动售货机 自动售货机是能根据投入的钱币自动付货的机器。自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。是一种全新的商业零售形式,又被称为24小时营业的微型超市。能分为三种:饮料自动售货机、食品自动售货机、综合自动售货机。 从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 从广义来讲投入硬币、纸币、信用卡等后便可以销售商品的机械,从狭义来讲就是自动销售商品的机械。从供给的条件看,自动售货机可以充分补充人力资源的不足,适应消费环境和消费模式的变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小,有吸引人们购买好奇心的自身性能,可以很好地解决人工费用上升的问题等各项优点。 2运行环境 根据课题要求,在DOS环境下,用TC或BC开发软件编写C语言程序模拟自动售货机的工作,因此本系统将会有较高的兼容性,能够在大多数操作系统上运行,包括Windows XP系统和Windows732位系统,但Windows764位操作系统除外。

EDA课程设计报告8线-3线优先编码器

Xxxxx学院 《EDA技术》课程报告 设计题目:8线-3线优先编码器班级:应用电子1101班姓名: 学号: 指导老师: 日期:

目录 一、8-3优先编码器设计原理分析 (3) 二、8-3优先编码器模块的源程序 (3) 三、8-3优先编码器仿真结果 (4) 四、设计总结和心得体会 (5) 五、参考资料 (5)

一、8-3优先编码器设计原理分析 8-3优先编码器输入信号为din0,d in1,din2,din3,din4,din5,din6和din7,输出信号为out2、out1、out0。输入信号中din7的优先级别最低,依次类推,din0的优先级别最高。也就是说若din0输入为1(即为高电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若din0输入为0(即为低电平)则看优先级仅次于din0的din1状态决定,依次类推。因为din0到din7共8中状态,可以用3位二进制编码来表示。8-3优先编码器真值表如下表所示。 表1 8-3优先编码器真值表 二、8-3优先编码器模块的源程序 8-3优先编码器由VHDL程序来实现,VHDL语言描述如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY coder IS PORT ( din : IN STD_LOGIC_VECTOR(0 TO 7);output : OUT STD_LOGIC_VECTOR(0 TO 2);EANABLE: in std_logic ); END coder; ARCHITECTURE behav OF coder IS SIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN PROCESS (din) BEGIN IF (EANABLE='0') THEN IF (din(0)='1') THEN output <= "000" ; ELSIF (din(1)='1') THEN output <= "100" ;

软件工程课程设计(自动售货机)

《软件工程》课程设计报告

一、问题描述 (一)关于自动售货机 自动售货机像磁卡、银行柜员机一样,以方便、新颖、文明、昼夜服务等特点,成为发达国家不可缺少的便民配套设施。如今的自动售货机可以为顾客提供多种服务。顾客可以根据自己的需要选择商品并投入钱币,售货机接收钱币,售出商品。 (二)自动售货机的设计 自动售货机系统是一种无人售货系统。售货时,顾客把硬币投入机器的投币口中,机器检查硬币的大小、重量、厚度及边缘类型。有效的硬币是一元币、五角币、一角币、五分币和一分币。其它货币都被认为是假币。机器拒收假币,并将其从退币孔退出。当机器接收了有效的硬币之后,将之送入硬币储藏器。顾客支付的货币根据硬币的面值进行累加。 自动售货机装有货物分配器,每个分配器中包含0 个或多个价格相同的货物。顾客通过选择货物分配器来选择货物。如果有货,且顾客支付的货币值不小于该货物的价格,货物将被分配到货物传送孔送给顾客,并将找零返回到退币孔。如果无货,则和顾客支付的货币值相等的硬币将被送到退币孔。如果顾客支付的货币值小于所选货物的价格,机器将等待顾客投进更多的货币。如果顾客决定不买所选择的货物,他投放进的硬币将从退币孔中退出。 二、需求分析 (一)UML自动售货机系统功能需求: 自动售货机系统是一种无一元等3个硬币投币口。顾客确认购货后,售货机可根据硬币的面值进行累加。 自动售货机装有货物分配器。每个货物分配器中包含零个或多个价格相同的货物。顾客通过

选择货物分配器来选择货物。如果货物分配器中有货物,而且顾客支付的货币值不小于该货物的价格,货物将被分配到货物传送孔送给顾客,并将适当的零钱返回到退币孔。如果分配器是空的,则和顾客支付的货币值相等的硬币将被送加到退币孔。如果顾客支付的货币值少于所选择的分配器中的货物的价格,机器将等待顾客投进更多的货币。如果顾客决定不买所选择的货物,将所投硬币从退币孔退出。 (二)UML自动售货机系统控制要求: 根据售货机自动控制系统的流程可以知道自动售货机的主要系统包括:计币系统、比较系统、选择系统、饮料供应系统、退币系统和报警系统。 1.计币系统: 当有顾额色买饮料时,投入的钱币经过感应器,感应器记忆投币的个数关且传送到检测系统(即电子天平)和计币系统。只有当电子天平测量的重量少于误差值时,允许计币系统进行叠加钱币,叠加的钱币数据存放在数据寄存器中。如果不正确时,认为是假币。既定出投币,既定出系统,等待新顾客。 2.比较系统: 投入完毕后,系统会把钱币数据和可以购买饮料的价格进行区间比较,当投入的钱币小于2元时,指示灯亮,显示投入的钱币不足。此时可以再投币或选择退币。当投稿的钱币在2~3元之间时,汔水选择指示灯长亮。当大于3元时,汔水和咖啡的指示灯同时长亮。此时可以选择饮料或选择退币。 3.选择系统: 比较电路完成后选择电路指示灯是长亮的,当按下汽水或咖啡选择时,相应的选择指示灯由长亮转为以1秒为周期闪烁。当饮料供应完毕时,闪烁同时停止。

EDA课程设计实验报告

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级1181 学号 2 姓名肖浪

指导教师乔汇东吴德建 2013年7月2日 湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1181 学生姓名肖浪 学号 2 指导老师乔汇东吴德建

任务书下达日期2013 年6月23日 任务完成日期2013 年7月2日 《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第十八周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附:

课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

自动售货机--PLC课程设计

大连海洋大学 职业技术学院 PLC课程设计 名称自动售货机控制系统的设计院系电气工程系 班级船电09 姓名冯森

一任务描述: 自动售货机是可完成无人自动售货的商业自动化设备,它不受任何场地限制,方便快捷,在我国也越来越普及。传统的自动售货机采用单片机作为控制核心,但这样在输入输出接口上消耗很大。PLC不但可实现类似控制功能,还具有可靠性高、编程简单、功能强、能耗低、调试方便等优点。本文设计的是售汽水和咖啡这两种饮料的自动饮料机,它的售货过程是:首先由顾客按下商品选择开关时,然后顾客投入的硬币(投入硬币的面值和剩余值由PLC驱动数码管显示)经过光传感器感应,再由光传感器驱动硬币识别传感器识别硬币(由于能力有限,在识别硬币上不做具体研究)。如果是无效币则使继电器打开阀门,将硬币退出;如果是真币则系统将硬币自动传送到相应的硬币贮币腔,并经硬币识别传感器将信号送给PLC。最后经顾客选择,同时PLC控制,使PLC的输

出口上有相应的信号输出。若贮币腔内无硬币(红外检测器感应)、饮料的量位小于设定值(红外传感器感应)、售货机遭损,系统就报警【7】。大体运行如图2-1: 图2-1自动售货机控制系统硬件组成示意图 图2-2是自动售货机简单示意图。在该机中有两种已经配制好的饮料储液桶(未画出来),一种为汽水,另一种为咖啡。汽水出口和咖啡出口分别代表由两个电磁阀控制放入杯中的饮料品种的饮料出口。 退币按钮汽水按钮咖啡按钮 退币口投币口退币指示灯汽水指示灯咖啡指示灯投币不足指示灯汽水出口咖啡出口 商品选择开关 硬币感应器 PLC 各种指示灯 退币电动机 出货电磁阀 液位感应器 报警

EDA课程设计报告-北京科技大学分析

EDA课程设计报告 题目彩灯循环闪烁电路的仿真学院自动化 专业班级自 姓名 学号 成绩 2014年12月

1、设计目的 (3) 2、设计原理 (3) (3) 3、单元电路的设计与仿真 (3) 3.1时钟脉冲产生电路 (3) 3.2计数器电路的设计与仿真 (5) 3.3译码和显示电路的设计 (6) 4、总体电路仿真 (7) 5、总结与收获 (9)

1、设计目的 1)彩灯能够自动循环闪烁 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路输出。 2、设计原理 彩灯循环闪烁电路的工作原理采用555定时器连接成多谐振荡器产生频率产生连续可调的时钟脉冲信号,然后将时钟信号输出通过计数器接受。然后,经过八进制加法计数器的计数实现循环功能。最后,通过译码器译码实现循环灯亮。 该电路主要分为三个模块,多谢振荡器模块、八进制加法计数器电路模块、译码器与彩灯电路模块。其结构框图如图1所示。 图1 彩灯循环闪烁电路的设计框图 3、单元电路的设计与仿真 3.1时钟脉冲产生电路 时钟脉冲产生电路由555定时器和外接元件R1、R2、R3、C1和C2构成多谐振荡器。管脚THR与管脚TRI直接相连。图2为,产生电路模块。电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号。利用电源通过R1、R2向C1充电,以及C1通过R2、R3向放电端DIS放电,使电路产生震荡。输出矩形波,为计数器提供脉冲源。其管脚2的电容充放电波形(黄色线条)和管脚3时钟脉冲输出波形(红色线条)如图3所示。

图2 时钟脉冲产生电路的仿真图 图3 管脚2、3的输出波形

3.2计数器电路的设计与仿真 本文的彩灯电路选用74LS160N-集成10进制同步加法计数器。74LS160N具有异步清零和同步置数的功能。为了实现8盏灯循环闪烁,电路采用异步反馈清零法获得8进制计数器。如图4所示,当Q D Q C Q B Q A输出1000时,U4A输出一个低电平到CLR,将计数器清零,回到0000状态。 图4 8进制计数器仿真图 确认电路连接无误后,单击RUN,开始仿真。结果如图4,通过7段数码管看到有0-7共8个有效状态。图5即计数过程。

基于s7200自动售货机PLC控制

明书 专业指导老师 姓名学号 完成日期2018年6月10号

选题四、自动售货机PLC 控制 1.工作要求: 1)此售货机可投入5 角、1 元、5 元硬币。 2)所售饮料标价:可乐——2.50 元、橙汁——3.00 元、红茶——5.50 元、咖啡——10.00 元 3)当投入的硬币和纸币总价值超过所购饮料的标价时,所有可以购买饮料的指示灯均亮,作可购买提示。(如:当投入的硬币总价值超过2.5 元,可乐按钮指示灯亮;当投入的硬币总价值超过3 元,可乐、橙汁按钮指示灯均亮;当投入的硬币总价值超过10.00 元所有饮料按钮指示灯都亮)。 4)当饮料按钮指示灯亮时,才可按下需要购买饮料的按钮,购买相应饮料,(如:当可乐按钮指示灯亮时,按可乐按钮,则可乐排出10s 后自动停止,此时可乐按钮指示灯闪烁) 5)购买饮料后,系统自动计算剩余金额,并根据剩余金额继续提示可购买饮料(指示灯亮)。 6)若投入的硬币和纸币总价值超过所消费的金额时,找余指示灯亮,按下退币按钮,就可退出多余的钱。 7)系统退币箱中只备有5 角、1 元硬币,退币时系统根据剩余金额首先退出1 元硬币, 1 元硬币用完后,所有找余为5 角硬币。 表3 自动售货机控制信号说明

课程设计报告目录一、引言 1.1自动售货机研究的背景 1.2 自动售货机国内外发展现状 1.3 本课题研究的目的及意义 1.4 研究的主要内容 二、系统总体方案设计 2.1自动售货机硬件结构 2.2 PLC选型设计 2.3硬币的识别

2.4 纸币的识别 2.5电机的选择 2.6自动售货机出货结构三、控制系统设计 3.1自动售货机工作流程图 3.2自动售货机I/O分配 3.3 PLC外部接线图 3.4 PLC程序的设计 3.4.1钱币计数系统 3.4.2商品购买系统 3.4.3 找零系统 四、系统调试及结果分析 4.1仿真软件的操作设置 4.2仿真调试结果五.参考文献 一、引言 1.1自动售货机研究的背景 自动售货机的出现是自动化社会的产物。在社会消费水平迅速提高的今天,大量的购买消费可发生在任何时间任何地点。由于时间,地理位置的限制,给人们的购物带来了不便,为了满足商业的需求方便人们的购物消费自动售货机便出现在人们的生活中,自动售货机以其占地面积小,24 小时不间断工作,后

相关文档
最新文档