实验二 运算部件实验乘法器

实验二 运算部件实验乘法器
实验二 运算部件实验乘法器

2 运算器部件实验:乘法器

2.1、实验目的

1、掌握乘法器以及booth乘法器的原理

2.2、实验原理

首先我们看一下十进制数的乘法。为了方便起见,我们假定十进制数的各位要么为1要么为0,例如1000×1001:

被乘数 1 0 0 010

乘数× 1 0 0 110

1 0 0 0

0 0 0 0

0 0 0 0

1 0 0 0

积 1 0 0 1 0 0 010

从上面的步骤我们可以看到,

1)从右到左用乘数的每一位乘以被乘数,每一次乘得的中间结果比上一次的结果往左移一位。

2)积的位数比被乘数和乘数的位数要多的多。事实上,如果我们忽略符号位,n位的被乘数和m位的乘数相乘的结果的位数有(n+m)位。因此,乘法必须象加法那样处理溢出问题,如果两个32位的数相乘,积也只有32位的时候,就会出现溢出。

在上面的例子中,我们把十进制数的各位限制为0或1。因此,每一步的乘法相当简单:1)如果乘数位是1,则简单的复制被乘数到合适的位置(1×被乘数);

2)如果乘数位是0,则在合适的位置置0

因为二进制数的各位是0或1,所以与上面情况类似。

既然已经知道了乘法的基本规律,下一步就是设计高度优化的乘法器硬件。为了让大家更明了乘法器的原理,我们一一列举乘法器的三个版本的改进。我们先假定被乘数和乘数都是正数。

1、第一代乘法器

初始的设计模拟我们刚才提到的乘法流程,硬件结构如图2.1所示。假定乘数在32位乘数寄存器里,64位的积寄存器初始化为0,显然每一步需要把被乘数左移一位。左移32次之后,被乘数的32位会被移到左边,因此我们需要64位的被乘数寄存器,初始状态为低32位是被乘数,高32位是0。这个寄存器每一步左移一位,和中间结果对齐,进行相加,相加的结果存在被乘数寄存器里。同时,乘数寄存器右移以决定是×1还是×0。

被乘数

左移

64位

64位A LU

64位写

控制测试

32位

乘数

右移

图2.1 第一代乘法器

图2.2 说明了每一位的三个基本的操作步骤。乘数的最低位决定被乘数是否被加到积寄存器中,第2步的左移操作相当于中间结果左移,第3步的右移操作给出乘数的下一位以决定相应的操作。上述3个步骤重复32次就可以得到乘积的最后结果。

开始

测试乘数第0位是0

是1

把被乘数加到积寄存器的

中间结果上

被乘数寄存器左移1位

乘数寄存器右移1位

32次?

结束

图2.2 第一代乘法器的流程

举例说明上述步骤。为了节省空间,我们假定被乘数和乘数都是4位的,210×310,也就是00102×00112,表2.1说明了每一步每一个寄存器内容的变化。乘数栏中下划线说明了下一步的操作。

表2.1第一代乘法器举例

2、第二代乘法器

经过仔细观察我们可以发现,被乘数寄存器的大部分时间有一半位数是0,也就是说只有一半的位数是有用的。在第一代乘法器中,被乘数左移后的位置添0,因此移位后的被乘数和中间结果积相加,不影响结果,那么我们可以保持被乘数不动,将中间结果积右移,因此,被乘数寄存器只要32位即可。图2.3和图2.4说明了以上改进,图2.3是第二代乘法器的硬件结构,图2.4是在硬件结构基础之上的流程。

被乘数

32位

32位A LU

积64位右移

控制测试

32位

乘数

右移

图2.3 第二代乘法器

结束

开始

测试乘数第0位

是0

是1

把被乘数加到积寄存器的左半部分上

积寄存器右移1位

乘数寄存器右移1位

32次?

图2.4 第二代乘法器的流程

在此,还用4位的被乘数和乘数210×310,也就是00102×00112举个例子,如表2.2所示。

表2.2 第二代乘法器举例

3、第三代乘法器

比较善于节省空间的人们发现,积寄存器浪费的空间正好和乘数寄存器浪费的空间一样,因此,在第三代乘法器中,将积寄存器的右半部分和乘数寄存器结合起来。由图2.5的

硬件结构可见,乘数寄存器消失了,初始化时将乘数放在积寄存器的右半部分,左半部分是0。图2.6是建立在图2.5之上的运算流程。

被乘数

32位

32位A LU

积64位右移

控制测试

图2.5 第三代乘法器

开始

测试积寄存器第0位是0

是1

把被乘数加到积寄存器的

左半部分,

积寄存器右移1位

32次?

结束

图2.6 第三代乘法器的流程我们仍以210×310,也就是00102×00112举例如表2.3。

4

以上我们讨论了无符号数乘法,对于32位有符号数乘法来说,在算法流程中,循环31次即可,符号位根据符号的异同得出正负。

一个更有效的计算有符号数乘法的是Booth算法,算法的新颖之处在于减法也可以用于计算乘积。假定210×610,或者说00102×01102:

0 0 1 0

X 0 1 1 0

+ 0 0 0 0 移位(乘数位为0)

+ 0 0 1 0 相加(乘数位为1)

+ 0 0 1 0 相加(乘数位为1)

+ 0 0 0 0 移位(乘数位为0)

0 0 0 0 1 1 0 0

Booth发现加法和减法可以得到同样的结果。例如,

610=-210+810

或者01102=-00102 + 10002

因为在当时移位比加法快得多,所以Booth发现了这个算法。Booth算法的关键在于把1分类为开始、中间、结束三种。

当然一串0的时候加法减法都不做。因此,总结1的分类情况有4种如表2.4所示:

表2.4 1的分类

Booth算法则是根据乘数的相邻2位来决定操作,第一步根据相邻2位的4种情况来进行加或减操作,第二步仍然是将积寄存器右移。算法描述如下:

1)根据当前位和其右边的位,做如下操作:

00:0的中间,无任何操作;

01:1的结束,将被乘数加到积的左半部分;

10:1的开始,积的左半部分减去被乘数;

11:1的中间,无任何操作。

2)像前面所讲的算法,将积寄存器右移1位。

需要注意的是,因为Booth乘法器是有符号数的乘法,因此积寄存器移位的时候,为了保留符号位,进行算术右移,不像前面的算法逻辑右移就可以了。

对于Booth算法,举例如下,210×-310=-610,或者说是00102×11012=1111 10102。

表2.5 Booth乘法器举例

2.3、实验步骤

1、如果未安装ByteBlaster,参照实验一的配置文件的安装。

2、连接JTAG和USB通信线,打开电源。

3、打开Quartus->tools->programmer,将booth_multiplier.sof下载到FPGA中。注意进行programmer时,应在program/configure下的方框中打勾,然后下载。

4、在实验台上通过模式开关选择FPGA独立调试模式010。

5、将短路子DZ3短接且短路子DZ4断开,使FPGA-CPU所需要的时钟使用正单脉冲时钟。

2.4、实验现象

本实验实现4位数的Booth乘法(有符号数乘法)。

输入输出规则对应如下:

1、输入的4位被乘数(multiplicand)md3~md0对应开关SD11~SD8。

2、输入的4位乘数(multiplier)mr3~mr0对应开关SD3~SD0。

3、按单脉冲按钮,输入脉冲,也即节拍。

4、乘积product(8位)p7~p0对应灯A8~A1,辅助位A0。

5、当计算结束时,final信号为1,对应灯R7。

如表2.5的booth算法举例,一共需要0~8九个小步骤计算出结果。本实验也是通过九个小步骤实现的,通过按单脉冲按钮输入脉冲,观察积寄存器的变化,掌握booth乘法器的

原理。

1、拨动开关SD11~SD8输入4位被乘数(md3~md0)0010,SD3~SD0输入4位乘数(mr3~mr0)1101。

2、按动单脉冲按钮,输入脉冲,对照表2.5观察积寄存器即灯A8~A0的变化情况,当灯R7亮时,说明计算结束,灯A8~A1为最后相乘结果。

根据以上操作细节,仿照表2.5填写表中各步骤。

进行新的乘法运算时,或者说当上一次运算结束即灯R7亮时,输入新的被乘数、乘数(拨动开关),然后按动单脉冲开关即可观察正确的寄存器结果。00:0的中间,无任何操作;

实验1运算器组成实验

实验一运算器组成实验 一、实验目的 1、掌握算术逻辑运算加、减、乘、与的工作原理。 2、熟悉简单运算器的数据传输通路。 3、验证试验台运算器的8位加、减、乘、与、直通功能。 二、实验电路 S0,S1,S2为片选信号,通过它们的高低电平的转换,使各模块的电路是否处于工作状态。每次输入数据存入存储器中,通过控制器取出指令,然后进行计算。 三实验过程 一、接线 1、固定接线 RS_BUS#接VCC,禁止寄存器堆RF向数据总线DBUS送数。 IAR_BUS#接VCC,禁止中断地址寄存器IAR向DBUS送数。 CEL#接VCC,禁止双端口RAM向数据总线DBUS送数。 M1、M2接VCC,选择DBUS作为DR1、DR2的数据输入源。 2、其他控制信号线 SW_BUS#接K0;ALU_BUS接K1; S0接K2;S1接K3;S2接K4; LDDR1接K5;LDDR2接K6。 接线图如下:

二、设置功能开关 1、置开关DB=0,DZ=0,DP=1,使实验系统处于单排状态(每按一次QD按钮,顺序产生T1、T 2、T 3、T4各一个脉冲) 2、将开关IP/DBUS拨到DBUS位置;置SW_BUS#(K0)=0,ALU_BUS(K1)=0,使数据输入设备(SW7~SW0)与数据总线DBUS接通;ALU的输出与数据总线DBUS断开。 三、实验操作 1、按下试验台上电源开关,接通电源。按复位按钮CLR#(使实验系统处于初始状态)。 2、置开关SW7~SW0为相应数字(eg:1000001)此数据通过74HC244加至数据总线DBUS。DBUS的数据指示灯显示相应数字(eg:1000001) 3、置LDDR2=1,LDDR1=0,按QD按钮(产生T3),则将DBUS的数据(1000001)打入DR2。 4、置开关SW7~SW0为相应数字(eg:1000010)此数据通过74HC244加至数据总线DBUS。DBUS的数据指示灯显示相应数字(eg:1000010) 5、置LDDR2=0,LDDR1=1,按QD按钮(产生T3),则将DBUS的数据(1000010)打入DR1。 6、置K0(SW_BUS#)=1、K1(ALU_BUS)=1。是数据输入设备(SW7~SW0)与数据总线DBUS 断开接通;ALU的输出与数据总线DBUS接通。 7、置S0、S1、S2为相应高低电平,使ALU进行相应计算(见下表)。运算的结果送至数据总线DBUS,DBUS的红色数据指示灯显示运算结果(10000011B);此时仅为指示灯为C=1。按QD按钮(产生T4),进位C=1保存。 8、其他运算通过变换S0、S1、S2的高低电平进行不同的运算(见下表)。

计算机组成原理实验1_脱机运算器

实验一.脱机运算器部件实验 一、教学计算机的通电启动和关闭操作 1.教学计算机系统通电启动的操作步骤: (1) 准备一台串行接口运行正常的PC机; (2) 将TH-union计原16放在实验台上,打开实验箱的盖子,确定电源处于断开状态; (3) 将黑色的电源线一端接220V交流电源,另一端插在计原16实验箱的电源插座; (4) 取出通讯线,将通讯线的9芯插头接在计原16实验箱后板上左侧位置的串口插座,另一端接 到PC机的串口上; (5) 将计原16实验系统左下方的五个黑色的功能控制开关置于00010的位置(连续、内存读指令、 微程序、联机、16位),开关拨向上方表示“1”,拨向下方表示“0”; (6) 接通电源,船形开关和5V电源指示灯亮。 (7) 在PC机上运行PCEC16.EXE文件,根据使用的PC机的串口情况选“1”或“2”,其它的设置一 般不用改动,直接回车即可。(具体步骤附后) (8) 按一下“RESET”按键,再按一下“START”按键,PC机屏幕上显示: TH-union CRT MONITOR Version 1.0 April 2001 Computer Architectur Lab., Tsinghua University Programmed by He Jia > 这个版权信息显示出来之后,表示教学机已经进入正常运行状态,等待输入监控命令。 实验注意事项: 1.连接电源线和通讯线前TH-union计原16实验系统的电源开关一定要处于断开状态,否则可能 损坏教学计算机系统的或PC机的串行接口电路; 2.五个黑色控制开关的功能示意图如下: 开关位置,自左向右共5个,分别控制 1 2 3 4 5 向上拨:单步手工拨指令组合逻辑运算器联机 8位 向上拨:连续读内存指令微程序运算器脱机 16位 几种常用的工作方式,(开关向上拨表示为1,向下拨表示0) 工作方式功能开关状态 连续运行程序、硬连线控制器、联机、16位机 00110 连续运行程序、微程序控制器、联机、16位机 00010 单步、手拨指令、硬连线控制器、联机、16位机 11110 单步、手拨指令、微程序控制器、联机、16位机 11010 单步、脱机运算器实验、16位机 10000 2.关闭教学计算机系统 在需要关闭教学计算机系统时,应首先通过安装在机箱右侧板上的开关关闭交流电源,教学机上的全部指示灯都会熄灭。(在需要时,还可以拨掉交流电源连线,断开教学计算机和PC机的串行接口连线),收拾好实验设备并盖好机箱的箱盖。 3.运行仿真终端程序的操作步骤: 1.在PC机上建一个文件夹TH-union计原16(若原来已有则不必重建); 2.若PCEC16程序尚未拷入,将其拷贝到在用户硬盘中刚建的文件夹里; 3.双击PCEC16图标,出现如图所示的界面:

运算器实验

计算机科学与技术系 实验报告 专业名称计算机科学与技术 课程名称计算机组成原理 项目名称运算器实验 班级 15 学号 姓名 L 同组人员无 实验日期 2015/10/29

一、实验目的与要求 目的:①了解运算器的组成结构。 ②掌握运算器的工作原理。 要求:①实验之前,应认真准备,写出实验步骤和具体设计内容。 ②应在实验前掌握所有控制信号的作用。 ③实验过程中,应认真进行实验操作。 ④实验之后,应认真思考总结,写出实验报告,包括实验步骤 二、实验逻辑原理图与分析 2.1 画实验逻辑原理图 图3-1 运算器原理图

2.2 逻辑原理图分析 如上图3-1,运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3……S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图3-2所示。图中显示的是一个4*4的矩阵(系统中是一个8*8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: ⑴对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 ⑵对于循环右移功能,右移对角线同互补的左移对角线一起激活。列如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 ⑶对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

计算机组成原理运算器实验—算术逻辑运算实验

实验报告 、实验名称 运算器实验—算术逻辑运算实验 、实验目的 1、了解运算器的组成原理。 2、掌握运算器的工作原理。 3、掌握简单运算器的数据传送通路。 4、验证运算功能发生器( 74LS181)的组合功能 三、实验设备 TDN-CM++ 计算机组成原理教学实验系统一套,导线若干四、实验原理 实验中所用的运算器数据通路如图1-1 所示。其中两片74LSl81以串行方式构成8 位字长的ALU,ALU 的输出经过一个三态门(74LS245)和数据总线相连。三态门由ALU-R 控制,控制运算器运算的结果能否送往总线,低电平有效。为实现双操作数的运算,ALU 的两个数据输入端分别由二个锁存器DR1、DR2 (由74LS273实现)锁存数据。要将数据总线上的数据锁存到DRl、DR2 中,锁存器的控制端LDDR1 和DDR2必须为高电平,同时由T4 脉冲到来。 数据开关“( INPUT DEVICE")用来给出参与运算的数据,经过三态 (74LS245) 后送入数据总线,三态门由SW—B控制,低电平有效。数据显示灯“( BUS UNIT") 已和数据总线相连,用来显示数据总线上的内容。 图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4 为脉冲信号外,其它均为电平信号。由于实验电路中的时序信号均已连至“W/R UNIT ”的相应时序信号引出端,因此,在进行实验时,只需将“W /R UNIT"的T4接至“ STATE UNIT ”的微动开关KK2 的输入端,按动微动开关,即可获得实验所需的单脉冲。 ALU 运算所需的电平控制信号S3、S2、S1、S0 、Cn、M、LDDRl、 LDDR2 、ALU-B 、SW-B均由“ SWITCH UNIT ”中的二进制数据开关来模拟,其中Cn、ALU —B、SW 一 B 为低电平有效LDDR1 、LDDR2 为高电平有效。 对单总线数据通路,需要分时共享总线,每一时刻只能由一组数据送往总线。

组成原理实验二 运算器的实验

实验二运算器的实验 一、实验目的 了解模型机中算术、逻辑运算单元的控制方法。 二、实验要求 利用COP2000实验仪的K16..K23开关做为DBUS数据,其它开关做为控制信号,将数据写累加器A和工作寄存器W,并用开关控制ALU的运算方式,实现运算器的功能。 三、实验说明 COP2000中的运算器由一片可编程芯片EPLD实现。有8种运算, 通过S2,S1,S0来选择。运算数据由寄存器A及寄存器W给出, 运算结果输出在D中显示 根据不同的S2 S1 S0 实现8种运算 加减或与带进位加带进位减 A取反输出A 四、实验过程 (1)连接线表 连接信号孔接入孔作用有效电平 1 J1座J3座将K23-K16接入 DBUS[7:0] 2 S0 S0 运算器功能选择 3 S1 S1 运算器功能选择 4 S2 S2 运算器功能选择 5 AEN AEN 选通A低电平有效 6 WEN WEN 选通W低电平有效 7 Cy IN X0 运算器进位输入 8 ALUCK CLOCK ALU工作脉冲上升沿打入 (2)实验数据 将05H写入A寄存器 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据05H K23 K22 K21 K20 K19 K18 K17 K16 0 0 0 0 0 1 0 1 置控制信号为: K5(Cy IN) K4(WEN) K3(AEN) K2(S2) K1(S1) K0(S0) 0 1 0 0 0 0

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据05H 被写入A寄存器。 将06H写入W寄存器 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据09H K23 K22 K21 K20 K19 K18 K17 K16 0 0 0 0 0 1 1 0 置控制信号为: K5(Cy IN) K4(WEN) K3(AEN) K2(S2) K1(S1) K0(S0) 0 0 1 0 0 0 按住CLOCK脉冲键,CLOCK由高变低,这时寄存器W的黄色选择指示灯亮,表明选择W寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据06H 被写入W寄存器。 置下表的控制信号, 检验运算器的运算结果 K5(Cy IN) K2(S2) K1(S1) K0(S0) 结果(直通门D) 注释 X 0 0 0 0b 加法 X 0 0 1 FF 带进位减 X 0 1 0 07 或 X 0 1 1 04 与 0 1 0 0 0b 带进位加 1 1 0 0 0c 带进位加 0 1 0 1 FF 带进位减 1 1 0 1 FE 带进位减 X 1 1 0 FA A取反 X 1 1 1 05 输出A 五、实验感想 连续做了两次组成原理实验,由于老师细致入微的解释,感觉实验都好简单。通过这次实验,了解了模型机中算术、逻辑运算单元的控制方法。不仅仅是从书本上了解了知识,通过实践更加巩固了原来的知识。当然在做实验时,连接线路的时候会遇到麻烦,但是经过老师同学的帮忙,还是顺利的完成了实验。 在完成实验数据的时候,由于我们没有找到机器,就和别人用一台机器。但是这完全不影响我们的实验,我们组做了两组数据,更加熟练了一些。下次实验的时候一定去早一点,积极完成实验!

计算机组成原理实验-运算器组成实验报告

计算机组成原理课程实验报告 9.3 运算器组成实验 姓名:曾国江 学号: 系别:计算机工程学院 班级:网络工程1班 指导老师: 完成时间: 评语: 得分:

9.3运算器组成实验 一、实验目的 1.熟悉双端口通用寄存器堆的读写操作。 2.熟悉简单运算器的数据传送通路。 3.验证运算器74LS181的算术逻辑功能。 4.按给定数据,完成指定的算术、逻辑运算。 二、实验电路 ALU-BUS# DBUS7 DBUS0 Cn# C 三态门(244) 三态门(244)ALU(181) ALU(181) S3S2S1S0M A7A6A5A4F7F6F5F4 F3F2F1F0B3B2B1B0 Cn+4 Cn Cn Cn+4 LDDR2T2 T2 LDDR1LDRi T3 SW-BUS# DR1(273) DR2(273) 双端口通用寄存器堆RF (ispLSI1016) RD1RD0RS1RS0WR1WR0 数据开关(SW7-SW0)数据显示灯 A3A2A1A0B7B6B5B4 图3.1 运算器实验电路 LDRi T3A B 三态门 R S -B U S # 图3.1示出了本实验所用的运算器数据通路图。参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF 中。

RF(U30)由一个ispLSI1016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF中保存。双端口寄存器堆模块的控制信号中,RS1、RS0用于选择从B端口(右端口)读出的通用寄存器,RD1、RD0用于选择从A端口(左端口)读出的通用寄存器。而WR1、WR0用于选择写入的通用寄存器。LDRi是写入控制信号,当LDRi=1时,数据总线DBUS上的数据在T3写入由WR1、WR0指定的通用寄存器。RF的A、B端口分别与操作数暂存器DR1、DR2相连;另外,RF的B端口通过一个三态门连接到数据总线DBUS上,因而RF中的数据可以直接通过B端口送到DBUS 上。 DR1和DR2各由1片74LS273构成,用于暂存参与运算的数据。DR1接ALU的A输入端口,DR2接ALU的B输入端口。ALU由两片74LS181构成,ALU的输出通过一个三态门(74LS244)发送到数据总线DBUS上。 实验台上的八个发光二极管DBUS7-DBUS0显示灯接在DBUS上,可以显示输入数据或运算结果。另有一个指示灯C显示运算器进位标志信号状态。 图中尾巴上带粗短线标记的信号都是控制信号,其中S3、S2、S1、S0、M、Cn#、LDDR1、LDDR2、ALU_BUS#、SW_BUS#、LDRi、RS1、RS0、RD1、RD0、WR1、WR0都是电位信号,在本次实验中用拨动开关K0—K15来模拟;T2、T3为时序脉冲信号,印制板上已连接到实验台的时序电路。实验中进行单拍操作,每次只产生一组T1、T2、T3、T4时序脉冲,需将实验台上的DP、DB开关进行正确设置。将DP开关置1,DB开关置0,每按一次QD 按钮,则顺序产生T1、T2、T3、T4一组单脉冲。 三、实验设备 1.TEC-5计算机组成实验系统1台 2.逻辑测试笔一支(在TEC-5实验台上) 3.双踪示波器一台(公用) 4.万用表一只(公用) 四、实验任务 1、按图3.1所示,将运算器模块与实验台操作板上的线路进行连接。由于运 算器模块内部的连线已由印制板连好,故接线任务仅仅是完成数据开关、控制信号

计算机组成原理实验三运算器

实验三:八位运算器组成实验 一:实验目的: 1:掌握运算器的组成原理、工作原理; 2:了解总线数据传输结构; 3:熟悉简单的运算器的数据通路与控制信号的关系; 4:完成给定数据的算术操作、逻辑操作; 二:实验条件: 1:PC机一台; 2:MAX+PLUSⅡ软件; 三:实验内容(一) 1:所用到的芯片 74181:四位算术逻辑运算单元; 74244:收发器(双向的三态缓冲器) 74273:八位D触发器; 74374:八位D锁存器; 74163:八进制计数器; 7449:七段译码器 2:实验电路图 (1)运算器电路图 (A)数据输入电路由两个十六进制计数器连接成16*16=256进制的计数器,可以实现八位的输入。 (B)运算功能选择电路由一个十六进制计数器组成,可以实现16种不同运算的选择。再加上逻辑运算器上的M位和Cn位的选择,一共可以实现16*3=48种运算功能。内部由一个74163构成。

内部结构: (C)数码管扫描显示电路由一个扫描电路scan和一个七段译码器7449组成,scan 内部是一个二选一的多路复用器。 scan内部结构: (D)运算器电路图

(2)波形仿真图 (A)输入两个数A=05H,B=0AH,O5H DR1,0AH DR2,并通过经由74181在总线上显示。

(B)对两个数进行各种数学运算和逻辑运算。加法运算:输出控制:s4s3s2s1=0001,M=0,CN=0 输出使能:ALU_BUS=0 计算结果:05H+0AH=10H

四:实验内容(二) 给定A,B两个数,设A=05H,B=0AH,完成几种常见的算术运算和逻辑运算画出运算的波形和仿真图 (1)逻辑运算:A and B,A or B,取反/A,A⊙B,A⊕B; /A A⊕B A⊙B A and B A or B 输入控制s3s2s1s0 0000 0110 1001 1011 1110 计算结果FAH 0FH F0H 00H 0FH

实验二 运算器实验

南京工程学院 计算机工程学院 计算机组成与结构实验报告书 实验学生班级 K网络工程121 实验学生姓名王云峰 学号 240121525 实验地点信息楼A115 实验二运算器实验 同组同学李翔240121515(合作小组朱赛杰240121533)实验日期 11月27日实验仪器号 TEC-XP+14S022 一、实验目的 1.加深对Am2901运算器内部组成的了解, 掌握四片Am2901芯片间的连接关系, 以及它与有关外部逻辑电路的连接关系。 2.准确把握该运算器的控制与使用, 即掌握其运算与操作功能, 以及正确地为其提供全部控制信号及有关数据的手段与技术。 3.初步了解运算器在计算机整机中的作用。 二、实验内容 1.脱机方式下运算器的控制及运行设计控制信号序列,在脱机方式实现给定程序段的功能。记录按压START 前后的ALU的运算结果和状态标志。 2.联机方式下运算器的控制及运行在联机方式下,汇编并单步执行给定程序段,查看并记录每条指令执行后的运行结果。使用指令的单步骤执行方式,观察与运算器相关的控制信号的状态。 三、实验步骤与结果 脱机的运算器实验,在教学实验中实现如下7项操作功能: 预期功能实现方案 R0 ←1234 数据开关拨1234,B地址给0,D+0,结果送B口选的R0

R9 ←789F 数据开关拨789F,B地址给9,D+0,结果送B口选的R9 R9 ←R9-R0 B地址9,A地址给0,最低位进位给1,B-A,结果送B 口选的R9 R0 ←R0+1 B地址给0,最低位进位给1,B+0,结果送B口选的R0 R10←R0 B地址给A,A地址给0,A+0,结果送B口选的R10 逻辑右移 在有了预期功能和实现方案之后,要解决的具体问题,就是依据教学计算机的简明操作卡中的有关表格中规定的内容,找出实现每一操作功能要用到的控制码。请把表2-3中各组控制信号的正确的取值填写在相应位置,然后把运行结果的状态信息填入表2-4。

计算机组成原理实验报告运算器组成存储器

计算机组成原理实验报告 一、实验1 Quartus H的使用 一.实验目的 掌握Quartus H的基本使用方法。 了解74 1 38(3:8)译码器、74244、74273的功能。 利用Quartus H 验证74138 (3: 8)译码器、74244、74273 的功能。 二.实验任务 熟悉Quartus H中的管理项目、输入原理图以及仿真的设计方法与流程。新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。 三.74138、74244、74273的原理图与仿真图 1.74138 的原理图与仿真图 74244的原理图与仿真图 1.

实验2运算器组成实验 一、 实验目的 1. 掌握算术逻辑运算单元(ALU 的工作原理。 2. 熟悉简单运算器的数据传送通路。 3. 验证4位运算器(74181)的组合功能。 4. 按给定数据,完成几种指定的算术和逻辑运算。 二、 实验电路 附录中的图示出了本实验所用的运算器数据通路图。 8位字长的ALU 由2 片74181构成。2片74273构成两个操作数寄存器 DR1和DR2用来保存参 与运算的数据。DR1接ALU 的A 数据输入端口,DR2接 ALU 的B 数据输入端 口,ALU 的数据输出通过三态门74244发送到数据总线BUS7-BUS 上。参与 运算的数据可通过一个三态门74244输入到数据总线上,并可送到DR1或 DR2 暂存。 图中尾巴上带粗短线标记的信号都是控制信号。除了 T4是脉冲信号外,其 4. 74273的原理图与仿真图、

他均为电位信号。nCO, nALU-BUS nSW-BU鈞为低电平有效。 三、实验任务按所示实验电路,输入原理图,建立.bdf 文件。 四. 实验原理图及仿真图 ,然后利用ALU的直通功能,检查DR1 DR2中是否保存了所置的数。 其实验原理图如下: 波形图如下: 实验 3 半导体存储器原理实验 (一)、实验目的 (1)熟悉静态随机存储器RAM和只读存储器ROM勺工作特性和使用方法; (2)熟悉半导体存储器存储和读出数据的过程; (3)了解使用半导体存储器电路时的定时要求。 (二)、实验要求 利用Quartus H器件库提供的参数化存储单元,设计一个由128X8 位的RAM和128X8位的ROM勾成的存储器系统。请设计有关逻辑电路,要求仿真通过,并设计波形文件,验证该存储器系统的存储与读出。 (三)、实验原理图与仿真图 ram内所存储的数据: rom 内所存储的数据: 仿真图如下: (四)心得体会 本次试验中,我们应该熟练掌握Quartus H软件的使用方法;熟悉静态随机存储器RAM和只读存储器RO啲工作特性和使用方法;熟悉半导体存储器存

实验一 运算器实验

武汉工程大学 计算机科学与工程学院 《计算机组成原理》实验报告 专业班级XX 实验地点机电大楼302 学生学号XX 指导教师XX 学生姓名XX 实验时间第10周 实验项目运算器实验 实验类别操作性(√)验证性()设计性()综合性()其它() 实验目的及要求 实验目的: 1、掌握简单运算器的数据传输方式。 2、验证运算功能发生器(74LS181)及进位控制的组合功能。 实验要求: 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用。 成绩评定表 类别评分标准分值得分合计 上机表现积极出勤、遵守纪律 主动完成实验设计任务 30分 程序代码比较规范、基本正确 功能达到实验要求 30分 实验报告及时递交、填写规范 内容完整、体现收获 40分 评阅教师: 日期:年月日 实验内容

一、实验原理 图1-1 运算器原理 实验中所用的运算器原理如图1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74LS245)和数据总线相连,运算器的两个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的输入已连至数据总线,数据开关(INPUT UNIT)用来给出参与运算的数据,经一三态门(74LS245)和数据总线相连,数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内容。 本实验装置的控制线(CTR-IN UNIT)应与(CTR-OUT UNIT)相连,数据总线、时序电路(TIME UNIT)产生的脉冲信号(T1-T4)、P(1)、P(2)、P(3)本实验装置已作连接,(CLK UNIT)必须选择一档合适的时钟,其余均为电平控制信号(HC-UNIT)。进行实验时,首先按动位于本实验装置右中则的复位按钮使系统进入初始待令状态,在LED显示器闪动出现“P.”的环境下,按动增址命令键使LED显示器自左向右第一位显示提示符“H”,表示本装置已进入手动单元实验状态,在

运算器部件实验报告

实验一运算器部件实验报告 班级____________ 姓名_______________ 学号 _______________ 日期_____________ 一、实验目的 熟悉与深入理解4位运算器芯片Am2901的功能和内部组成,运行中要求使用的控制信号及其各自的控制作用。 熟悉与深入理解用4片4位的运算器芯片构成16位的运算器部件的具体方案,各数据位信号、各控制位信号的连接关系。 熟悉与深入理解用2片GAL20V8芯片解决ALU最低位的进位输入信号和最高、最低位的移位输入信号、实现4位的标志位寄存器的方案,理解为什么这些功能不能在运算器芯片之内实现而要到芯片之外另外处理。 明确教学计算机的运算器部件,使用总计24位的控制信号就完全确定了它的全部运算与处理功能,脱机运算器实验中可以通过24位的微型开关提供这些控制信号。 二、实验说明 脱机运算器实验,是指让运算器从教学计算机整机中脱离出来,此时,它的全部控制与操作均需通过24位的微型开关来完成,通过开关、按键控制教学机的运算器完成指定的运算功能,并通过指示灯观察运算结果。 三、实验要求 1、实验之前认真预习,写出预习报告,包括操作步骤,实验过程所用数据和运行结果等 2、实验过程当中,要仔细进行,防止损坏设备,分析可能遇到的各种现象,判断结果是否正确,记录运行结果 3、实验之后,认真写出实验报告,包括对遇到的各种现象的分析,实验步骤和实验结果,自己在这次实验的心得体会与收获。 四、实验所使用到的控制信号 AM2901所用的控制信号 运算器用到的GAL20V8的控制信号

五、实验步骤 1、将教学机设置为单步、16位、脱机状态下,即把教学机左下方的5个控制开关置为1XX00。 2、按一下RESET按键,进行初始化。 3、按照指定功能给出控制信号和数据信息,观察各信号指示灯状态。 4、按压START键,给出脉冲信号,观察各信号灯状态。 六、实验内容 1、下表中所列操作在教学机上进行运算器脱机实验。并将结果填入表中 2、下表中所列操作在教学机上进行运算器脱机实验。并将结果填入表中 运算器功能所用到的控制信号

运算器部件实验报告

实验一运算器部件实验报告 班级姓名学号日期 一、实验目的 ●熟悉与深入理解4位运算器芯片Am2901的功能和内部组成,运行中要求 使用的控制信号及其各自的控制作用。 ●熟悉与深入理解用4片4位的运算器芯片构成16位的运算器部件的具体方 案,各数据位信号、各控制位信号的连接关系。 ●熟悉与深入理解用2片GAL20v8芯片解决ALU最低位的进位输入信号和 最高、最低位的移位输入信号、实现4位的标志位寄存器的方案,理解为什么这些功能不能在运算器芯片之内实现而要到芯片之外另外处理。 ●明确教学计算机的运算器部件,使用总计24位的控制信号就完全确定了它 的全部运算与处理功能,脱机运算器实验中可以通过24位的微型开关提供这些控制信号。 二、实验说明 脱机运算器实验,是指让运算器从教学计算机整机中脱离出来,此时,它的全部控制与操作均需通过24位的微型开关来完成,通过开关、按键控制教学机的运算器完成指定的运算功能,并通过指示灯观察运算结果。 三、实验要求 1、实验之前认真预习,写出预习报告,包括操作步骤,实验过程所用数据和运行结果等 2、实验过程当中,要仔细进行,防止损坏设备,分析可能遇到的各种现象,判断结果是否正确,记录运行结果 3、实验之后,认真写出实验报告,包括对遇到的各种现象的分析,实验步骤和实验结果,自己在这次实验的心得体会与收获。 四、实验所使用到的控制信号 AM2901所用的控制信号

1、将教学机设置为单步、16位、脱机状态下,即把教学机左下方的5个控制开关置为1XX00。 2、按一下RESET按键,进行初始化。 3、按照指定功能给出控制信号和数据信息,观察各信号指示灯状态。 4、按压START键,给出脉冲信号,观察各信号灯状态。 六、实验内容 1、下表中所列操作在教学机上进行运算器脱机实验。并将结果填入表中。 运算器功能所用到的控制信号

《计算机组成原理》实验报告---8位算术逻辑运算实验

. '. 计算机专业类课程 实验报告 课程名称:计算机组成原理 学 院:信息与软件工程学院 专 业:软件工程 学生姓名: 学 号: 指导教师: 日 期: 2012 年 12 月 15 日

电子科技大学 实验报告 一、实验名称:8位算术逻辑运算实验 二、实验学时:2 三、实验内容、目的和实验原理: 实验目的: 1.掌握算术逻辑运算器单元ALU(74LS181)的工作原理。 2.掌握模型机运算器的数据传送通路组成原理。 3.验证74LS181的组合功能。 4.按给定数据,完成实验指导书中的算术/逻辑运算。 实验内容: 使用模型机运算器,置入两个数据DR1=35,DR2=48,改变运算器的功能设定,观察运算器的输出,记录到实验表格中,将实验结果对比分析,得出结论。 实验原理: 1.运算器由两片74LS181以并/串形式构成8位字长的ALU。

. '. 2.运算器的输出经过一个三态门(74LS245)和数据总线相连。 3.运算器的两个数据输入端分别由两个锁存器(74LS273)锁存。 4.锁存器的输入连至数据总线,数据开关(INPUT DEVICE)用来给 出参与运算的数据,并经过一三态门(74LS245)和数据总线相连。 5.数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内 容。 实验器材(设备、元器件):模型机运算器 四、实验步骤: 1. 仔细查看试验箱,按以下步骤连线 1)ALUBUS连EXJ3 2) ALU01连BUS1 3) SJ2连UJ2 4) 跳线器J23上T4连SD 5) LDDR1,LDDR2,ALUB,SWB四个跳线器拨在左边 6) AR跳线器拨在左边,同时开关AR拨在“1”电平 2. 核对线路,核对正确后接通电源 3. 用二进制数据开关KD0-KD7向DR1和DR2寄存器置入8位运算数据。

运算器组成实验

实验二 运算器组成实验 1.算术逻辑运算实验 一.实验目的 1. 了解简单运算器的数据传输通路。 2. 验证运算功能发生器的组合功能。 3. 掌握算术逻辑运算加、减、与的工作原理。 4. 验证实验台运算的8位加、减、与、直通功能。 5. 按给定数据,完成几种指定的算术和逻辑运算。 二.实验内容 1.实验原理 算术逻辑单元ALU 的数据通路如图2-1所示。其中运算器ALU181根据74LS181的功能用VHDL 硬件描述语言编辑而成,构成8位字长的ALU 。参加运算的两个8位数据分别为A[7..0]和B[7..0],运算模式由S[3..0]的16种组合决定,而S[3..0]的值由4位2进制计数器LPM_COUNTER 产生,计数时钟是Sclk (图2-1);此外,设M=0,选择算术运算,M=1为逻辑运算,C N 为低位的进位位;F[7..0]为输出结果,C O 为运算后的输出进位位。两个8位数据由总线IN[7..0]分别通过两个电平锁存器74373锁入,ALU 功能如表2-1所示。 表2-1 ALU181的运算功能 选择端 高电平作用数据 S3 S2 S1 S0 M=H M=L 算术操作 逻辑功能 Cn=L (无进位) Cn=H (有进位) 0 0 0 0 A F = A F = 1加A F = 0 0 0 1 B A F += B A F += )(B A F +=加1 0 0 1 0 B A F = B A F += B A F +=+1 0 0 1 1 0=F =F 减1(2的补码) 0=F 0 1 0 0 AB F = B A A F 加= B A A F 加=加1 0 1 0 1 B F = )(B A F +=加B A )(B A F +=加B A +1 0 1 1 0 B A F ⊕= B A F 减= 1减减B A F = 0 1 1 1 B A F = B A F += 1)(减B A F += 1 0 0 0 B A F += AB A F 加= AB A F 加=加1 1 0 0 1 B A F ⊕= B A F 加= B A F 加=加1 1 0 1 0 B F = AB B A F )加(+= AB B A F 加)(+=加1 1 0 1 1 AB F = AB F = 1减AB F = 1 1 0 0 1=F A A F 加=* 1加加A A F = 1 1 0 1 B A F += A B A F )加(+= A B A F )加(+=加1 1 1 1 0 B A F += A B A F )加(+= A B A F )加(+=加1 1 1 1 1 A F = A F = 1减A F = 注1、* 表示每一位都移至下一更高有效位, “+”是逻辑或,“加”是算术加 注2、在借位减法表达上,表2-1与标准的74181的真值表略有不同。 三.实验步骤 (1)设计ALU 元件 在Quartus II 环境下,用文本输入编辑器Text Editor 输入ALU181.VHD 算术逻辑单元文件,编译VHDL 文件,并将ALU181.VHD 文件制作成一个可调用的原理图元件。 (2)以原理图方式建立顶层文件工程

实验一:运算器实验

《计算机组成原理》 实验报告实验一:运算器实验 学院: 专业: 班级学号: 学生姓名: 实验日期: 指导老师: 成绩评定: 计算机学院计算机组成原理实验室

实验一 一、实验名称:运算器实验 二、实验目的: 1、掌握运算器的组成及工作原理; 2、熟悉ALU执行算术运算与逻辑运算的具体实现过程; 3、掌握ALU 算术与逻辑运算的控制方法 三、实验内容: 1、两8位操作数的算术运算及进位影响 2、两8位操作数的逻辑运算及进位影响 3、不同控制组合下的算术与逻辑运算的输出结果 四、实验设备: EL-JY-II型计算机原理实验系统 五、实验步骤: 1、在系统断电的情况下,按实验指导书接线图完成本次实验的接线; 2、系统上电,拨动清零开关,系统清零; 3、从数据输入开关电路输入第一个8位数据,开放数据总线,使数据进入暂存寄存器A; 4、从数据输入开关电路输入第二个8位数据,开放数据总线,使数据进入暂存寄存器B; 5、关闭数据输入开关,开启ALU输出,检查两个8位数据正确与否,有错通过步骤3改正; 6、拨动功能选择端S3,S2,S1,S0 进行算术运算,记录ALU输出结果; 7、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成; 8、对实验结果进行检查,如有错误,找出原因,重做实验,直到正确为止。 六、实验结果 整个实验记录的实验结果如下:

七、分析讨论 S0, S1,S2,S3是功能选择控制端,决定是做加、减、逻辑与、逻辑或、逻辑异或、移位等运算,表一的实验结果与手工验算完全一致,从而验正了整个ALU的算术/逻辑运算功能和移位处理功能。灵活运用S0,S1,S2,S3的不同组合可以实现许多其它功能,如通过移位运算可以实现数据的乘2(左移一位)和除2运算(右移一位),此外选择S3S2S1S0=0000或S3S2S1S0=0001将操作数A 或B可以直接送到ALU的输出,这样可以直接验证输入数据是否正确。本次实验通过算术加法运算观察到对进位位FC和零标志FZ的影响,和手工验算的结果一致。实验也涉及到了数据总线,总线有三个性质:公共性、驱动性和三态性,在数据输入时利用控制开关来控制三态,有效时输出数据,无效时数据输入缓冲器呈高阻(相当断开)与数据总线隔离;公共性是总线的最根本的属性,所有传输的数据都通过共享数据线分时完成的,何时完成靠控制信号来区分,如输入的两个8位数据就是通过数据总线分两次(分时)传送的。(不少于100字) 八、心得体会 这是计算机组成原理的第一个实验,虽然还有点陌生,但基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的。 (蓝色字部分学生根据具体实验的实验指导书及实验内容和过程自己填写)

实验二 脱机运算器实验

计算机学院软件工程专业 < >班学号: 姓名协作者教师评定 实验题目脱机运算器实验 一、实验目的: 1、深入了解AM2901运算器的功能与具体用法; 2、深化运算器部件的组成、设计、控制与使用等知识 二、实验设备与器材: TEC-XP+教学实验系统 三、实验说明和原理: 脱机运算器实验,是指让运算器从数学计算机整机中脱离出来,此时,他的全部控制与操作均需通过两个12位的卫星开关来完成,这就谈不上执行指令,只能通过开关,案件控制数学机的运算器完成指定的运算功能,并通过指示灯观察运算器结果。 下面先把前边几讲过的,与该实验直接有关的结论性内容汇总如下。 1、12位微型开关的具体控制功能分配如下: A口,B口地址:送给AM2901器件用于选择源与目的操作数的寄存器编号: I8-I0:选择操作数来源,运算操作功能,选择操作数处理结果和运算器输出内容的3组3位的控制码: SCI、SSH和SST:用于确定运算器最低位的进位输入,移位信号的入/出和怎样处理AM2901产生的状态标志位的结果。 2、开关位置说明: 做脱机运算器实验时,要用到提供24位控制信号的微动开关和提供16位数据的拔动开关。微动开关是红色的,一共有三个,一个微动开关可以提供12位的控制信号,三个开关分别标有SW1 micro switch1和SW2 micro switch和SW3 micro switch,他们所对应的控制信号见下表;数据开关是黑色的,左边的标有SWH的是高8位:右边的标有SWL的是低8位。微动开关与控制信号对应关系见表: 微动开关与控制信号对应关系表 SW1 Micro switch SW2 Micro switch SW3 Micro switch T3-T0 REQ/MIO/WE I2-I0 I8-I7 I6-I3 B PORT A PORT SST SSH SCI DC2 DC1

实验一基本运算器实验

山西大学计算机与信息技术学院 实验一基本运算器实验 一、实验目的: (1 )了解运算器的组成结构 (2 )掌握运算器的工作原理 二、实验内容: 1、实验原理: 本实验的原理如图1-1所示。运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器 A和暂存器B,三个部件同时接受来自 A和B的数据各部件对操作数进行何种运算由控制信号S3,S0和 CN来决定,任何时候,多路选择开关只选择三部件中一 个部件的结果作为 ALU的输出。如果是影响进位的运算,还将置进位标志 FC,在运算结果输出前,置ALU零标志。ALU 中所有模 块集成在 一片 运算器部件由一片 CPLD实现。ALU的输入和输出通过三态门74LS245连到CPU内总线上,另 外还有指示灯标明进位标志FC和零标志FZ。图中除T4和CLR其余信号均来自于 ALU单元的排线 座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4, CLR都连接至 CON 单元的CLR按钮。T4由时序单元的TS4提供,其余控制信号均由 CON单元的二进制数据开关模拟给出。控制信号中除 T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 表1-1运算器逻辑功能表(表中“ X ”为任意态,下同) 运算类型S3 S2 S1 S0CN 功能 逻辑运算0000 X 台匕 F=A (直通)能0001 X F=B (直通) 课程名称 2011级计算机科学与技术计算机组成原理课程设计实验日期 批改日期 实验名称学号专业班级指导教师 CPLD

0100 X F= A' (FZ ) 0101 X F=A 不带进位循环右移 B (取低 3位)位 (FZ ) 0110 0 F=A 逻辑右移一位 (FZ ) 移位运算 1 F=A 带进位循环右移一位 (FC ,FZ ) 0111 0 F=A 逻辑左移一位 (FZ ) 1 F=A 带进位循环左移一位 (FC ,FZ ) 1000 X 置 FC=CN (FC ) 1001 X F=A 加 B (FC ,FZ ) 1010 X F=A 加B 加FC (FC , FZ ) 算术运算 1011 X F=A 减 B (FC , FZ ) 1100 X F=A 减 1 (FC , FZ ) 1101 X F=A 加 1 (FC , FZ ) 1110 X (保留) 1111 X (保留) 算器零标志,表中功能栏内的 FC FZ 表示当前运算会影响到该标志。 ST 按钮,产生一个 T4上升沿,则将二进制数置入暂 中,暂存器A 的值通过ALU 单元的A7,A0八位 LED 灯显 示。 用输入开关向暂存器 B 置数。 拨动CON 单元的SD27,SD20数据开关,形成另外一个二进制数。 置LDA=0,LDB=1,连续按动时序单元的 ST 按钮,产生一个 T4上升沿,则将二进制数置入暂 中,暂存器B 的值通过ALU 单元的B7,B0八位 LED 灯显示。 改变运算器的功能设置,观察运算器的输出。置 ALU_B=0、LDA=0 LDB=0,然后按表1-1置 S3、S2、S1、S0和Cn 的数值,并观察数据总线 LED 显示灯显示的结果。 2、实验步骤: ⑴ ⑶ 查接线, ⑷ ① KK1、KK3置为’运行’档。 打开电源开关,如果听到有’嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检 直到错误排除。然后按动 CON 单元的 用输入开关向暂存器 A 置数。 拨动CON 单元的SD27,SD20数据开关, CLR 按钮,将运算器的 A 、B 和FC 、FZ 清零。 形成用户指定的二进制数,数据显示亮为’1',灭 为‘ 0'。 ② 存器A (5) ① ② 存器B 置LDA=1, LDB=0连续按动时序单元的 按图1-2连接实验电路,并检查无误。

相关文档
最新文档