模拟IC设计流程总结

建筑设计类工作总结

建筑设计类工作总结 Updated by Jack on December 25,2020 at 10:00 am

工作总结 在成熟和迷惘的交织中,2010悄然流逝了。在我眼里,设计室也是一个没有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策和正确指导。 年终岁末的时候,人总是特别感叹生命的蹉跎,回首与展望会是两个亘古不变的主题。下面我将从业务素质,外界沟通与内部合作两个大的方面来分析自己一年来的得失。 作为一名建筑专业设计人员,业务能力的提高是重中之重。 2009年的半年,通过大量的施工图绘制,我对建筑设计的流程,方法等有了较深的认识,对建筑规范也有了一定的了解。今年年初,我给自己制定的目标是大致具备能独立操作一个一般项目的能力,包括它的总平规划,方案设计,效果图表现,以及后期施工图的设计。能力来源于实践,实践检验能力;一年来,我按照自己既定的目标一步一步地脚踏实地走过来,有些可能达到了,而有些可能离目标还有一定地差距,具体表现在以下几个方面。 一. 总平规划能力: 2010年上半年,通过对郫县某住宅小区的总平规划,南充某集资房的总平设计,正兴某商业中心的总平调整以及市中心某商住区的总平规划,我对规划方面的知识有了较为深刻的理解,具备了一定的动手能力。为方便与甲方的沟通,我力争更多地了解房地产开发的动态和走向,用心揣测开发商的商业目的,努力寻求设计师与开发商完美的结合点。作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏

观控制;其次,基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了不少宝贵的经验。 二. 效果图的表现: 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使我必须掌握的一项基本技能。一年来,通过对警备区招待所,某大厦附属天桥,忘忧谷宾馆和某双拼别墅的效果图制作,我能熟练的掌握3dmax,photoshop等设计软件,加以自己对建筑形体的理解,能作出一般的单体效果图,对于大型的商业建筑和小区的鸟瞰图制作还很欠缺,还需要不断的学习和实践。 三. 方案能力: 方案能力是一名建筑设计师应必备的重要能力。好的平面方案直接与建筑的适用,经济,美观三大基本要素挂钩。一年来,我参与了公司大部分项目平面方案的设计,在桂湖花园,怡然居,竹韵苑等平面方案的设计中,我跟随设计组的领导一起查阅资料,实地考察,埋头苦干,虚心请教,圆满完成了既定任务。与此期间,受领导重托,我还独立完成了警备区招待所,某双拼别墅和百货大楼,竹韵苑1,2#楼的平立面方案设计。在平面柱网的布置中,我先按自己的想法大致布置结构柱网,再请结构组同事帮忙检查是否合理,无形之中,我增强了结构概念,丰富了专业知识。在独立设计的过程中,我发现了自

集成电路IC设计完整流程详解及各个阶段工具简介

IC设计完整流程及工具 IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计。 前端设计的主要流程: 1、规格制定 芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。 2、详细设计 Fabless根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。 3、HDL编码 使用硬件描述语言(VHDL,Verilog HDL,业界公司一般都是使用后者)将模块功能以代码来描述实现,也就是将实际的硬件电路功能通过HDL语言描述出来,形成RTL(寄存器传输级)代码。 4、仿真验证 仿真验证就是检验编码设计的正确性,检验的标准就是第一步制定的规格。看设计是否精确地满足了规格中的所有要求。规格是设计正确与否的黄金标准,一切违反,不符合规格要求的,就需要重新修改设计和编码。设计和仿真验证是反复迭代的过程,直到验证结果显示完全符合规格标准。仿真验证工具Mentor公司的Modelsim,Synopsys的VCS,还有Cadence的NC-Verilog均可以对RTL级的代码进行设计验证,该部分个人一般使用第一个-Modelsim。该部分称为前仿真,接下来逻辑部分综合之后再一次进行的仿真可称为后仿真。 5、逻辑综合――Design Compiler 仿真验证通过,进行逻辑综合。逻辑综合的结果就是把设计实现的HDL代码翻译成门级网表netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准。逻辑综合需要基于特定的综合库,不同的库中,门电路基

IC设计基础(流程、工艺、版图、器件)-笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目) 15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?(仕兰微面试题 目) 16、请描述一下国内的工艺现状。(仕兰微面试题目)

建筑设计工作总结

建筑设计工作总结 专业技术工作总结 我2010年7月毕业于内蒙古建筑职业技术学院建筑设计技术专业,毕业后在成熟和迷惘的交织中,进入呼和浩特华德工程设计咨询有限责任公司,从事建筑设计工作。 在我眼里,设计院也是一个没有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策和正确领导。 下面从业务素质,外界沟通与内部合作等几个方面来总结自己的专业技术业务。 作为一名建筑设计专业人员,业务能力的提高是重中之重。在参加工作的几年里,通过大量建筑方案设计,初步设计,施工图设计,对建筑设计的操作流程,绘制方法有了较深的认识,对各类建筑规范,设计通则等有了较深的理解与掌握。 具体表现在以下几个方面: 一、方案设计方面 方案能力是一名建筑设计师应必备的重要能力。好的平面方案直接与建筑的适用,经济,美观三大基本要素挂钩。通过对聚龙湾住宅小区、东乌旗住宅小区、乌海市乌达区等各类住宅区的总体规划和方案设计,我住宅小区整体规划和

住宅户型设计立面造型设计等方面有了较为深刻的理解,具备了一定的专业素养。首先了解工程的实际地理情况,实地考察;其次埋头苦干,虚心请教,查阅各类建筑设计规范资料;最后了解房地产开发的动态和走向,与甲方沟通,揣测开发商的商业目的;努力寻求设计师与开发商完美的结合点。 作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏观控制;基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了不少宝贵的经验。 二、效果图表现方面 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使一名合格建筑师必须掌握的一项基本技能。 在这几年的工作中,通过对华典新城住宅小区、百泉山庄生态旅游园区、成吉思汗大街亮化工程等效果图制作,熟练的掌握3dmax, VRay, photoshop等设计加强对建筑形体,

建筑设计师工作总结报告(最新篇)

建筑设计师工作总结报告 建筑设计师工作总结报告 设计师个人工作总结 建筑设计师工作总结报告 (一) 尊敬的上级公司领导以及XX同事们: xx年x月至2xx年x月由总公司任命我担任XX副院长,于是有幸在这个工作岗位上在您们的领导、您们的关照、您们的理解与支持下经历了适应新的设计管理需要,摸索新的工作方法,考验任职能力的两年。现在把两年来我所想的、所做的,分几个方面报告如下: 一、适应设计,积极配合参与做好与市政XX联合的工作 xx年春,当公用事业局牵头提出局系统设计单位联合申报综合甲级资质时,XX院长召集院领导班子慎重研究分析联合可能给我们带来的机遇以及可能给我们生产与经营带来的问题。在这个过程中,我始终执积极态度。这包括两个方面: 一是将利害关系积极地提出,并建议院长向总公司报告;二是积极的按市政院的要求配合做好报送资质材料的工作,并和院长一起共同研究《承担工程项目划分协议》的起草工作。 虽说联合后出现了失去独立的设计资质问题,这个问题给总公司的机构改革和我们院的经营运作带来很大障碍,但我们还有别的选择吗?留给我们的问题是怎样培植一个符合资质条件的问题。 二、适应工程管理需要摸索设计阶段生产管理的方法

这两年工程项目实施过程中与设计有关的比较突出的是设计变更问题。我认为,设计变更本是工程实施过程中的正常程序。至于那些属于不恰当变更的原因很重要的原因是设计准备不充分,原始资料不齐全或不准确,设计要则不确定。一方面是业主有时并不清楚我们下在做什么标准的设计,另一方面有时我们也不太准确地了解业主究竟想要什么质量的东西。反复出现的不恰当设计变更一是影响投资效益,二是挫伤设计人员的积极性,干扰设计生产的正常进行。为此我做了两件事: 第一件是反复学习了国家规程、规范有关处理设计变更程序的控制规定,起草了符合总公司工程实施实际情况的《处理设计变更事宜的有关规定》,《规定》经征求意见,院长批准后作为院生产管理制度试行。第二件是注意在接受设计任务时从业主那里把设计要求问清楚,在下达生产计划时,将设计深度和方案要点向设计人员讲清楚。这样做的意义在于通过抓好中间环节,强化项目设计的严肃性和严谨性,实现既便于责任部门对工程项目密切控制,又便于设计人员准确理解任务要求,把握设计要素实现质量目标。 三、适应工程多种管理形式,摸索做好设计配合的方法 这两年,一些重要的工程项目,特别是公司内部项目采取了多种管理形式。如市区管网改造项目采取了内部招标制;内部改造项目采用了使用单位负责制,投资30万元以上项目实行工程监理制等多种管理方式。要适应这样的情况,在设计配合过程中,应特别注意具体工程管理形式的特点,要求设计人员在处理现场问题时,针对不同当事方的职责权力,按规则依程序办事。同时,要注意根据具体的承包单位

IC设计的一些事情

当你坐在计算机旁工作或在网上冲浪,当你打开电视机欣赏节目,当你在川流不息的人群中拿起无绳电话,当你的VCD或DVD正在播放惊心动魄的hoolywood 电影......你可知道在这些和我们的生活悉悉相关的IC设计者(大规模集成电路)在默默的工作。 个人电脑、因特网、无绳电话、天气预报、模拟战争、空中预警、导弹卫星......几乎所有的新名词都和IC密切相关。IC工业的成就和未来正引起人类社会新的变革。当比尔.盖茨在condex大会上为我们描绘如诗般的internet生活;当intel和amd宣布里程碑式的1G处理器;你是否了解为致力于创造和改变人们生活方式的IC设计工程师是如何把我们的每一个梦想变成现实? 笔者愿以一个普通设计人员的身份帮你撩开IC设计的神秘面纱。 1,项目和课题; (1)Herbert Kroemer说过这样的名言:“任何一种新的并具创造性的技术的应用原理总是,也一直都是,因为这种技术所创造的应用。” 设计IC的唯一目的就是为了满足某种需求,譬如CPU和DRAM是为了计算机而存在;而80C51系列单片机就是因为很多的工控应用而蓬勃发展,而象mpeg1,mpeg2,mp3解码器这些专用电路更是目的明确。因此IC设计项目总是和应用密切相关。不要盯住无用的“新技术”而投入过份的精力。早在voodoo之前Nviria公司就创造了曲面帖图技术,但这种技术太超前了,以致它现在都是不切实际的幻想。然而任何IC开发计划又都必须具有前瞻性,只是这种前瞻性必须是也只能是:当芯片在制造厂流片成功时正是它所对应的技术即将或大量应用时。 (2)在IC设计行业,“时间就是金钱”是永远不变的铁律。 没有那个公司会做过时的IC,再傻的老板都不会在现在把开发mpeg1或10M以太网芯片做为自己的目标,因为技术和应用发展的方向正在淘汰他们,一切不和时宜和不具前瞻性的项目都不具吸引力。我所在的term就将千兆以太网芯片作为自己的努力方向,因为它比现在正流行的传输率快一个阶段。随千兆以太网标准的推出,未来的局域网应用一定会是千兆的天下,这称为技术贮备。NVIDIA公司在推出TNT2时早在研发NV20。符合技术发展潮流和应用规律的项目是保证投资回报和团队生存的基本要求。 (3)“没有人愿意和巨人打架”,syrex和IDT的失败正是这句话的真实印证。任何产品目标都必须是切实可行符合业界规范的。一个小的刚刚涉足IC设计的trem将CPU设计作为自己的目标无疑是可笑和毫无意义的。他必须了解自己的研发能力可以达到什么样的程度,这包括了项目带头人的能力和技术专长,包括了整个团队的开发经验等等。在IC设计中,最讲究的就是要“专”,不要什么都想干,往往什么都干不成。比如一个在网络开发方面有经验的TERM没必要选择开发单片机,最可能的是他会开发网路产品而在需要用单片机或DSP作为microcontroller时去买nation semiconducter或TI的芯核(我们所属的TMI公司就是这样);我们在开发USB芯片的过程中,从来不把host controller作为自己的目标,因为作为一个在国内的刚刚组建的IC design term,我们根本没有技术,经验和能力去和nec、philips、intel或、nation semiconductor比较。即使我们研发的USB1.1标准的芯核也只可以作为usb接口的以太网卡的一部分来使用,而不是作为一款单独的产品; 众所周知曾经有中国的SVCD规范出台,SVCD的最终失败正是因为它不符合国际标准;符合标准是IC设计的前提,计算机产业的迅速发展正是因为它的标准化。对标准的兼容性是一片IC是否可以被市场认可的关键。VIA正是因为intel在很多技术上的专利而不得不收购S3、syrex等公司来换取技术专利交换协议以保持和intel处理器的兼容性。另外,一个研发团队对标准的掌握程度和速度直接决定产品在市场中的成败。我们在开发USB接口的100M以太网卡芯片的过程中,之所以USB部分开发迅速,而network的mac部分遇到

建筑设计师个人年度工作总结

建筑设计师个人年度工作总结 设计师在经过一定时间的工作后,需要对自己的工作做一个总结。以下是WTT为大家精心整理的建筑设计师个人年度工作总结 ,欢迎大家阅读,供您参考。更多内容请关注。 建筑设计师个人年度工作总结 回顾这几个月来的工作,我在公司领导及各位同事的支持与帮助下,严格要求自己,按照公司的要求,较好地完成了自己的本职工作;在此对公司各位领导及各位同事表示衷心的感谢,感谢公司给我一个展示自己的机会。通过这段时间的工作与学习,在专业技能上、思想上都有了较大的改变,现将这几个月以来的工作情况总结如下: 一、实习阶段的认识与学习 对于刚刚毕业的大学生来说,从事设计工作是机遇也是挑战。我有幸成为XX集团建筑设计院的一员,在刚刚开始工作的这几个月,尽快适应了工作的环境,融入到设计院这个集体中。在领导及各位同事的关怀、支持与帮助下,认真学习钢结构设计知识,不断提高自己的专业水平,积累经验。这期间主要学习了《门式刚架轻型房屋钢结构技术规程》、《钢结构设计手册》、《建筑设计规范》、《结构设计规范》等等,特别是对于钢结构设计的理念,由初步的认识上升到更高层次的水平。这几个月学

了提工程量,工程量报价,门式刚架的设计,通过做这些工作,对钢结构轻型房屋设计的认识逐步提高,各构件的连接与设计更加全面、经济合理。在此期间,通过办理资质升级文件,整理简单的资料,锻炼了耐性,认识到做任何工作都要认真、负责、细心,处理好同事间的关系,与集团各部门之间联系的重要性。 通过不断学习与实践,将所学的理论知识加以应用,逐步提高完善自己的专业技能,领会设计工作的核心,本着XX集团“崇尚完美,追求卓越,精益求精,不遗余力”的企业口号,积极响应、倡导“一家人,一条心,一股劲”的企业信誉精神,为设计院的发展多做贡献。 二、加强自身学习,提高专业知识水平 通过近几个月的实习,使我认识到自己的学识、能力和阅历还很欠缺,所以在工作和学习中不能掉以轻心,要更加投入,不断学习,向书本学习、向周围的领导学习,向同事学习,这样下来感觉自己还是有了一定的进步。经过不断学习、不断积累,已具备了一定的设计工作经验,能够以正确的态度对待各项工作任务,热爱本职工作,认真努力贯彻到实际工作中去。积极提高自身各项专业素质,争取工作的积极主动性,具备较强的专业心,责任心,努力提高工作效率和工作质量。 三、存在的问题和今后努力方向

建筑设计师年度工作总结范文

建筑设计师年度工作总结范文 【一】 我于20XX年初来到集团建筑设计院工作,我通过六个月的试用期,有幸成为集团的 一名员工,回顾这几个月来的工作,我在公司领导及各位同事的支持与帮助下,严格要求 自己,按照公司的要求,较好地完成了自己的本职工作;在此对公司各位领导及各位同事 表示衷心的感谢,感谢公司给我一个展示自己的机会。通过这段时间的工作与学习,在专 业技能上、思想上都有了较大的改变,现将这几个月以来的工作情况总结如下: 一、实习阶段的认识与学习 对于刚刚毕业的大学生来说,从事设计工作是机遇也是挑战。我有幸成为*集团建筑 设计院的一员,在刚刚开始工作的这几个月,尽快适应了工作的环境,融入到设计院这个 集体中。在领导及各位同事的关怀、支持与帮助下,认真学习钢结构设计知识,不断提高 自己的专业水平,积累经验。这期间主要学习了《门式刚架轻型房屋钢结构技术规程》、《钢结构设计手册》、《建筑设计规范》、《结构设计规范》等等,特别是对于钢结构设 计的理念,由初步的认识上升到更高层次的水平。这几个月学了提工程量,工程量报价, 门式刚架的设计,通过做这些工作,对钢结构轻型房屋设计的认识逐步提高,各构件的连 接与设计更加全面、经济合理。在此期间,通过办理资质升级文件,整理简单的资料,锻 炼了耐性,认识到做任何工作都要认真、负责、细心,处理好同事间的关系,与集团各部 门之间联系的重要性。 通过不断学习与实践,将所学的理论知识加以应用,逐步提高完善自己的专业技能, 领会设计工作的核心,本着*集团“崇尚完美,追求卓越,精益求精,不遗余力”的企业 口号,积极响应、倡导“一家人,一条心,一股劲”的企业信誉精神,为设计院的发展多 做贡献。 二、加强自身学习,提高专业知识水平 通过近几个月的实习,使我认识到自己的学识、能力和阅历还很欠缺,所以在工作和 学习中不能掉以轻心,要更加投入,不断学习,向书本学习、向周围的领导学习,向同事 学习,这样下来感觉自己还是有了一定的进步。经过不断学习、不断积累,已具备了一定 的设计工作经验,能够以正确的态度对待各项工作任务,热爱本职工作,认真努力贯彻到 实际工作中去。积极提高自身各项专业素质,争取工作的积极主动性,具备较强的专业心,责任心,努力提高工作效率和工作质量。 三、存在的问题和今后努力方向 这几个月以来,本人能敬业爱岗、不怕吃苦、积极主动、全身心的投入工作中,取得 了一些成绩,但也存在一些问题和不足,主要表现在:

IC设计流程

设计流程 IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计。 前端设计的主要流程: 1、规格制定 芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。 2、详细设计 Fabless根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。 3、HDL编码 使用硬件描述语言(VHDL,Verilog HDL,业界公司一般都是使用后者)将模块功能以代码来描述实现,也就是将实际的硬件电路功能通过HDL语言描述出来,形成RTL(寄存器传输级)代码。 4、仿真验证 仿真验证就是检验编码设计的正确性,检验的标准就是第一步制定的规格。看设计是否精确地满足了规格中的所有要求。规格是设计正确与否的黄金标准,一切违反,不符合规格要求的,就需要重新修改设计和编码。设计和仿真验证是反复迭代的过程,直到验证结果显示完全符合规格标准。仿真验证工具Mentor公司的Modelsim,Synopsys的VCS,还有Cadence的NC-Verilog均可以对RTL级的代码进行设计验证,该部分个人一般使用第一个-Modelsim。该部分称为前仿真,接下来逻辑部分综合之后再一次进行的仿真可称为后仿真。 5、逻辑综合――Design Compiler

仿真验证通过,进行逻辑综合。逻辑综合的结果就是把设计实现的HDL代码翻译成门 级网表netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准。逻辑综合需要基于特定的综合库,不同的库中,门电路基本标准单元(standard cell)的面积,时序参数是不一样的。所以,选用的综合库不一样,综合出来的电路在时序,面积上是有差异的。一般来说,综合完成后需要再次做仿真验证(这个也称为后仿真,之前的称为前仿真)逻辑综合工具Synopsys的Design Compiler,仿真工具选 择上面的三种仿真工具均可。 6、STA Static Timing Analysis(STA),静态时序分析,这也属于验证范畴,它主要是在时序上对电路进行验证,检查电路是否存在建立时间(setup time)和保持时间(hold time)的违例(violation)。这个是数字电路基础知识,一个寄存器出现这两个时序违例时,是没有办法正确采样数据和输出数据的,所以以寄存器为基础的数字芯片功能肯定会出现问题。STA工具有Synopsys的Prime Time。 7、形式验证 这也是验证范畴,它是从功能上(STA是时序上)对综合后的网表进行验证。常用的就是等价性检查方法,以功能验证后的HDL设计为参考,对比综合后的网表功能,他们是否在功能上存在等价性。这样做是为了保证在逻辑综合过程中没有改变原先HDL描述的电路功能。形式验证工具有Synopsys的Formality。前端设计的流程暂时写到这里。从设计程度上来讲,前端设计的结果就是得到了芯片的门级网表电路。 Backend design flow后端设计流程: 1、DFT Design ForTest,可测性设计。芯片内部往往都自带测试电路,DFT的目的就是在设计的时候就考虑将来的测试。DFT的常见方法就是,在设计中插入扫描链,将非扫描单元(如寄存器)变为扫描单元。关于DFT,有些书上有详细介绍,对照图片就好理解一点。DFT工具Synopsys的DFT Compiler

关于IC验证经验的总结

关于IC验证经验的总结 完整的、详细的设计规范是验证工作的重要起点。 验证工作根据设计规范(Specification)进行,详细的Spec是RTL代码的编写工作的依据,也是验证工作的依据。当验证过程发现DUT的响应与testbench预计的不符时,需要根据Spec判断是DUT出现错误还是testbench出现错误。 参数化的全局定义 ?Register相关位及其数值的全局宏定义。reg_define.v ?相关路径的全局宏定义。define_board.v ?系统重要变量的显示信息。display.v ?与Register相关的比较任务和报错任务。reg_cmp ?时钟周期参数的定义,一般局部定义,用parameter定义。 存取波形及相应变量的数据,使用`ifdef为全局定义使用 1.波形源头文件是VCD波形,但过于庞大,可用来做功耗分析。 $dumpfile(“wave.vcd”); $dumpvars(0,xxx); $dump0ff; $dumpflush; 2.SHM波形是Cadence的,可以用simvision打开。 $shm_open(“wave.shm”); $shm_probe(xxx,“AST”); $shm_close; 3.FSDB波形是Novas的,可以用nwave打开。 $fsdbDumpfile(“wave.fsdb”); $fsdbDumpvars(0,xxx); 4.VPD波形是Synopsys的,可以用dve打开。 $vcdplusfile(“wave.vpd”); $vcdpluson(0,xxx); 5.变量的存取,可以使用宏来选择变量的存取与否与存取时间使用。

建筑设计工作总结

建筑设计工作总结

建筑设计工作总结 专业技术工作总结 我2010年7月毕业于内蒙古建筑职业技术学院建筑设计技术专业,毕业后在成熟和迷惘的交织中,进入呼和浩特华德工程设计咨询有限责任公司,从事建筑设计工作。 在我眼里,设计院也是一个没有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策和正确领导。 下面从业务素质,外界沟通与内部合作等几个方面来总结自己的专业技术业务。 作为一名建筑设计专业人员,业务能力的提高是重中之重。在参加工作的几年里,通过大量建筑方案设计,初步设计,施工图设计,对建筑设计的操作流程,绘制方法有了较深的认识,对各类建筑规范,设计通则等有了较深的理解与掌握。 具体表现在以下几个方面: 一、方案设计方面 方案能力是一名建筑设计师应必备的重要能力。好的平面方案直接与建筑的适用,经济,美观三大基本要素挂钩。通过对聚龙湾住宅小区、东乌旗住宅小区、乌海市乌达区等各类住宅区的总体规划和方案设计,我住宅小区整体规划和

住宅户型设计立面造型设计等方面有了较为深刻的理解,具备了一定的专业素养。首先了解工程的实际地理情况,实地考察;其次埋头苦干,虚心请教,查阅各类建筑设计规范资料;最后了解房地产开发的动态和走向,与甲方沟通,揣测开发商的商业目的;努力寻求设计师与开发商完美的结合点。 作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏观控制;基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了不少宝贵的经验。 二、效果图表现方面 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使一名合格建筑师必须掌握的一项基本技能。 在这几年的工作中,通过对华典新城住宅小区、百泉山庄生态旅游园区、成吉思汗大街亮化工程等效果图制作,熟练的掌握3dmax, VRay, photoshop等设计加强对建筑形体,

工程建筑设计师年终工作总结

工程建筑设计师年终工作总结 ★工作总结频道为大家整理的工程建筑设计师年终工作总结范文,供大家阅读参考。阅读请查看本站工作总结频道。 本人###,男,汉族,30岁,XX年毕业于郑州#####学院工民建专业,在校三年,普招大专学历。 本人在毕业后就参加了工作,一直在施工单位从事技术施工,有一定的施工经验,并且有信心把#楼工程圆满地交给业主使用。 工程施工是按照设计图纸把设计师的思想完成从意识形态到实物形态的转变过程,要搞好工程施工,就必须首先熟悉施工图纸,掌握设计师的意图,完成从图纸了解设计意图再回头修订图纸的过程(即完成图纸的施工前会审),其次要强化对图纸的了解程度,熟悉工程的基本概况,考虑具体的施工方案,初步明确工程技术施工的重点、难点,为以后的施工操作行为做准备。 在工程施工过程中,测量放线工作是重中之重,它贯穿整个工程施工的始终,是工程施工的灵魂,要想工程干好,必须把测量放线的工作做好,所以施测、校对、复核的程序就一个都不能少(并且施测、复核的工作要有不同的人来做);

其次要结合整套图纸对各个施工层、施工段、施工点进行校对,避免遗漏工程细小的部位构件;再次,就是检查、落实是否工程的实际操作层的理解与自己的思想一致,发现问题及时沟通,把问题消灭在萌芽状态。 在某一工程段施工完成后,要及时检查,验收,总结经验和教训,把发现的问题及时纠正在下一施工段,减少错误的连续发生。 工程施工是一项非常严谨的工作,工程技术人员必须要把它作为一个自己的艺术产品去雕刻,力求精益求精,要有一个良好的工作作风,要本着对国家(不浪费资源)、对社会、对业主负责的态度去工作,要有“干一项工程,树一座丰碑,赢一片口碑”的决心,论文联盟这样才能把工作作好,才能成为一名合格的工程技术人员。 工程施工是一个群体作业的工作,它不是一个人或几个人就能完成的,它是需要上至质检站、设计院,下至劳动工人的相互紧密配合,才能完成的一项复杂的作业任务,所以,做好相互间的联系配合就显得尤其重要,否则,干好工程就会成为一句空话。 俗话说“皮之不存,毛将焉附”一个打工者如果不考虑老板和公司的经济效益,他就是在自断前程,他就不是一个合格的打工者,早晚会被社会所淘汰。工程施工的工作面大,工作人多,工程要取得一个良好的经济效益,材料管理就显

IC设计流程之实现篇全定制设计

IC设计流程之实现篇——全定制设计 要谈IC设计的流程,首先得搞清楚IC和IC设计的分类。 集成电路芯片从用途上可以分为两大类:通用IC(如CPU、DRAM/SRAM、接口芯片等)和专用IC(ASIC)(Application Specific Integrated Circuit),ASIC是特定用途的IC。从结构上可以分为数字IC、模拟IC和数模混合IC三种,而SOC(System On Chip,从属于数模混合IC)则会成为IC设计的主流。从实现方法上IC设计又可以分为三种,全定制(full custom)、半定制(Semi-custom)和基于可编程器件的IC设计。全定制设计方法是指基于晶体管级,所有器件和互连版图都用手工生成的设计方法,这种方法比较适合大批量生产、要求集成度高、速度快、面积小、功耗低的通用IC或ASIC。基于门阵列(gate-array)和标准单元(standard-cell)的半定制设计由于其成本低、周期短、芯片利用率低而适合于小批量、速度快的芯片。最后一种IC设计方向,则是基于PLD或FPGA器件的IC设计模式,是一种“快速原型设计”,因其易用性和可编程性受到对IC制造工艺不甚熟悉的系统集成用户的欢迎,最大的特点就是只需懂得硬件描述语言就可以使用EDA工具写入芯片功能。从采用的工艺可以分成双极型(bipolar),MOS和其他的特殊工艺。硅(Si)基半导体工艺中的双极型器件由于功耗大、集成度相对低,在近年随亚微米深亚微米工艺的的迅速发展,在速度上对MOS管已不具优势,因而很快被集成度高,功耗低、抗干扰能力强的MOS管所替代。MOSFET工艺又可分为NMOS、PMOS和CMOS三种;其中CMOS工艺发展已经十分成熟,占据IC市场的绝大部分份额。GaAs器件因为其在高频领域(可以在0.35um下很轻松作到10GHz)如微波IC中的广泛应用,其特殊的工艺也得到了深入研究。而应用于视频采集领域的CCD传感器虽然也使用IC一样的平面工艺,但其实现和标准半导体工艺有很大不同。在IC开发中,常常会根据项目的要求(Specifications)、经费和EDA工具以及人力资源、并考虑代工厂的工艺实际,采用不同的实现方法。 其实IC设计这个领域博大精深,所涉及的知识工具领域很广,本系列博文围绕EDA工具展开,以实现方法的不同为主线,来介绍这三种不同的设计方法:全定制、半定制和基于FPGA

中国IC设计公司现状和发展分析

中国IC设计公司现状和发展分析 1. 200万门是最大设计规模 本次调查显示了中国IC设计公司的地域分布特点,84%的IC设计公司主要集中在沿海城市及北京市,其中上海、无锡和杭州三地占40%,北京占26%,深圳为18%,成都/重庆占5%,西安和武汉分别为4%和3%。 目前,中国IC设计公司的主要资金来源是自筹和政府,中小规模的公司占主体,如下图图1所示。 在被调查的公司中,平均每个公司有6个产品系列,44%的受访公司产品系列在5个以下,20个以上占10%。目前,中国IC设计公司的最大设计规模为200万门(图1)。数字IC产品的设计水平主要集中在0.25到0.5微米以及0.5到1.5微米内,分别占34%和29%,小于0.25微米仅占20%;模拟IC中50%采用0.5到1.5微米,1.5微米以上占42%。 2. 主流产品通信类第一、消费类第二 42%受访公司的产品主要应用领域为通信,34%为消费类,分别占第一、二位;工业电子和计算机类分别占10%和8%。受访公司的主要产品集中在ASIC、MCU、视频类IC和数模混合IC,如图1所示,显示了通信领域对ASIC和MCU的巨大需求。另一方面也反映出由于经济实力和规模的制约,ASSP等标准器件的设计仍然处于弱势。 通信类产品是目前国产IC中最主要的一类,本次调查显示42%的公司涉足该类产品,52%的受访者认为此类产品发展前景最好(图2),28%的受访公司在未来的两年中将会推出通信类IC产品,但仅为第二位(图3),暴露出中国IC设计公司对更高技术含量的通信类设计仍信心不足。随着中国在通信基础设施的大量资金投入,通信IC的市场的进一步扩大必将吸引更多国内IC设计公司的关注。请参见图2,图3。 图2 图3 在被调查公司的产品类型中,电视/视频/显示相关产品占12%,位居第三。该数据显示未来视频相关产品为广大IC公司所看好,这与目前宽带到户、数字HDTV、MPEG技术的发展趋势

建筑设计师工作总结三篇

建筑设计师工作总结三篇 在成熟和迷惘的交织中,20xx 悄然流逝了。在我眼里,设计室也是一个没 有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策和正确指导。 年终岁末的时候,人总是特别感叹生命的蹉跎,回首与展望会是两个亘古不变的主题。下面我将从业务素质,外界沟通与内部合作两个大的方面来分析自己一年来的得失。 作为一名建筑专业设计人员,业务能力的提高是重中之重。 20xx 年的半年,通过大量的施工图绘制,我对建筑设计的流程,方法等有了较深的认识,对建筑规范也有了一定的了解。今年年初,我给自己制定的目标是大致具备能独立操作一个一般项目的能力,包括它的总平规划,方案设计,效果图表现,以及后期施工图的设计。能力来源于实践,实践检验能力;一年来,我按照自己既定的目标一步一步地脚踏实地走过来,有些可能达到了,而有些可能离目标还有一定地差距,具体表现在以 下几个方面。

一、总平规划能力: 20xx 年上半年,通过对X 县某住宅小区的总平规划,南充某集资房的总平设计,正兴某商业中心的总平调整以及市中心某商住区的总平规划,我对规划方面的知识有了较为深刻的理解,具备了一定的动手能力。为方便与甲方的沟通,我力争更多地了解房地产开发的动态和走向,用心揣测开发商的商业目的,努力寻求设计师与开发商完美的结合点。作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏观控制;其次,基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了不少宝贵的经验。 二、效果图的表现: 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使 我必须掌握的一项基本技能。一年来,通过对警备区招待所,某大厦附属

建筑设计工作总结(最新)

在成熟和迷惘的交织中,2019悄然流逝了。在我眼里,设计室也是一个没有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,作为一名建筑专业设计人员,业务能力的提高是重中之重。以下是我今年的工作总结。 一、设计工作的认识 通过大量的施工图绘制,我对建筑设计的流程,方法等有了较深的认识,对建筑规范也有了一定的了解。今年年初,我给自己制定的目标是大致具备能独立操作一个一般项目的能力,包括它的总平规划,方案设计,效果图表现,以及后期施工图的设计。能力来源于实践,实践检验能力;一年来,我按照自己既定的目标一步一步地脚踏实地走过来,有些可能达到了,而有些可能离目标还有一定地差距。 二、总平规划能力 通过对xx的总平规划,我对规划方面的知识有了较为深刻的理解,具备了一定的动手能力。为方便与甲方的沟通,我力争更多地了解房地产开发的动态和走向,用心揣测开发商的商业目的,努力寻求设计师与开发商完美的结合点。基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意这些问题,并妥善处理,遇到难题时就虚心请教,取得了较好的效果,积累了不少宝贵的经验。 三、效果图的表现 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使我必须掌握的一项基本技能。一年来,我能熟练的掌握多种设计软件,加以自己对建筑形体的理解,能作出一般的单体效果图,对于大型的商业建筑和小区的鸟瞰图制作还很欠缺,还需要不断的学习和实践。 四、方案能力 方案能力是一名建筑设计师应必备的重要能力。好的平面方案直接与建筑的适用,经济,美观三大基本要素挂钩。一年来,我参与了公司大部分项目平面方案的设计,我跟随设计组的领导一起查阅资料,实地考察,埋头苦干,虚心请教,圆满完成了既定任务。在平面柱网的布置中,我先按自己的想法大致布置结构柱网,再请结构组同事帮忙检查是否合理,无形之中,我增强了结构概念,丰富了专业知识。在独立设计的过程中,我发现了自己的很多不足之处,特别是对立面方案的把握还缺乏基础理论性的认识,这都是在以后的工作中必须首要加强的。

相关文档
最新文档