八位数码扫描显示电路设计

八位数码扫描显示电路设计
八位数码扫描显示电路设计

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity shuma is

port(clk:in std_logic;--时钟

seg:out std_logic_vector(7 downto 0);--段显示控制(abcdefg)scan:out std_logic_vector(7 downto 0));--数码管地址选择控制end entity shuma;

architecture one of shuma is

signal cnt8:integer range 0 to 7;

signal data:integer range 0 to 15;

begin ----------扫描数码管地址计数器

process(clk)

begin

if clk'event and clk='1' then

cnt8<=cnt8+1;

end if;

end process; -----------数码管地址扫描

process(cnt8)

begin

case cnt8 is

when 0=>scan<="00000001";data<=1;

when 1=>scan<="00000010";data<=2;

when 2=>scan<="00000100";data<=4; when 3=>scan<="00001000";data<=5; when 4=>scan<="00010000";data<=7; when 5=>scan<="00100000";data<=9; when 6=>scan<="01000000";data<=13; when 7=>scan<="10000000";data<=15; when others=>null;

end case;

end process; -----------7段译码process(data)

begin

case data is ---abcdefg

when 0=>seg<="11111100";

when 1=>seg<="01100000";

when 2=>seg<="11011010";

when 3=>seg<="11110010";

when 4=>seg<="01100110";

when 5=>seg<="10110110";

when 6=>seg<="10111110";

when 7=>seg<="11100000";

when 8=>seg<="11111110";

when 9=>seg<="11110110";

when 10=>seg<="11101110";

when 11=>seg<="00111110";

when 12=>seg<="10011100";

when 13=>seg<="01111010";

when 14=>seg<="10011110";

when 15=>seg<="10001110";

when others=>null;

end case;

end process;

end architecture one;

数字时钟显示电路图

数字时钟显示电路图 发布: | 作者: | 来源: liuxianping | 查看:3663次 | 用户关注: 数字时钟以时、分、秒显示时刻,共用六个数码管,本例采用共阳极数码管,用三极管控制电源的通断。工作原理:6个数码管的字型段输入端(a、b、c、d、e、f,g)全部并接到译码器相应的输出端。电源控制开关管分别接到3~6译码器的六个输出端。时钟六个计数器输出端均采用四位,分别为xl【、xt£、 m x?X2n x2z、x2h x2‘,?,x 、x x 、x 相应的每一位都接到4个6选1的选择器上,选择器输出共4位接到 数字时钟以时、分、秒显示时刻,共用六个数码管,本例采用共阳极数码管,用三极管控制电源的通断。 工作原理:6个数码管的字型段输入端(a、b、c、d、e、f,g)全部并接到译码器相应的输出端。 电源控制开关管分别接到3~6译码器的六个输出端。时钟六个计数器输出端均采用四位,分 别为xl【、xt£、 m x? X2n x2z、x2h x2‘,?,x 、x x 、x 相应的每一位都接到 4个6选1的选择器上,选择器输出共4位接到译码器的输入端(y 、y 、y 、Y )上。数码管及与之对应要显示的计数器,由Q]、、的编码(BCD码)进行循环选择例如,当Q 、 1

、均为?0 时,则3~6译码器的输出端1为高电平,第一个数码管加上电源,与此同 时,六选一选择器对应的输出分别为Y y— y Xs—x X —x 。这时译码器的输 出a,b,??,g虽然接到所有数码管上,但由于只有第一个数码管加上电源,故只有该管点 亮,显示第一个计数器的状态(x 、x 。、xX )。同理,当Q 、Q Q 为001”时,第二 个数码管点亮,显示第二个计数器的状态。依此类推,到第六个数码管断电后,接着第一个又开始点亮。如此循环显示,循环周期为6ms,给人的感觉,就相当所有数码管都一直在同时 加电,实际上每次只有一个,消耗的功率只有静态显示的六分之一。由于数码管电流很大,一 般小型管各段全亮时,大约要150mA~200mA 采用静电显示,此例中就要大于1A的 电流。这对长期工作的时钟很不经济,对于大型数码管会更加严重。此外,采用动态显示,数 码管的寿命与静态相比也相应延长Ⅳ 倍(本例为6倍)。

经典模拟、数字电路设计

实验一 单级阻容耦合放大器设计 一、设计任务书 1.已知条件 电源电压V cc =+12V,信号源U s =10mV,内阻R s =600Ω,负载R L =2k Ω。 2.主要技术指标 输入电阻R i >2k Ω,频率响应20Hz ~500kHz,输出电压U o ≥0.3V,输出电阻R O <5k Ω,电路工作稳定。 3.实验用仪器 双踪示波器一台,信号发生器一台,直流稳压电源一台,万用表一台。 二、电路设计 1.电路形式讨论 由于电压增益A V =U O /U S =30,采用一级放大电路即可,要求电路工作稳定,采用分压式电流负反馈偏置电路,输入电阻比较大和频率响应比较宽,引入一定的串联负反馈,电路如图。 2.具体电路设计 (1)静态工作点选择 I CQ =2mA,V BQ =3V (选择硅管) (2)晶体管的选择 78) (2 =+=L s i V R R R A β取100, U CEO >V CC =12V,I CM >2I CQ =4mA, P CM >I CQ V CC =24mW, f T >1.5βf H =75MHz 选择9014:U CEO >20V,I CM >100mA, P CM >300mW,f T >80MHz,Cb'c<2.5pF (3)元件参数的计算 R E =(V BQ -0.7)/I CQ ≈1.2k Ω I BQ =I CQ /β=20μA 则 Ω== k I V R BQ BQ B 15102,R B2=15k Ω Ω=-= k I V V R BQ BQ CC B 45101,取标称值47k Ω Ω≈++=k mA I mV r EQ be 6.1) (26) 1(300β, 取R F =10Ω.则Ω=++=k R r R F be i 16.2)1('β Ω==k R R R R i B B i 12.2////'21,取A V =40,

8位数码管动态显示电路设计

电子课程设计 — 8位数码管动态显示电路设计 学院:电子信息工程学院 专业、班级: 姓名: 学号: 指导老师: 2014年12月

目录 一、设计任务与要求 (3) 二、总体框图 (3) 三、选择器件 (3) 四、功能模块 (9) 五、总体设计电路图 (10) 六、心得体会 (12)

8位数码管动态显示电路设计 一、设计任务与要求 1. 设计个8位数码管动态显示电路,动态显示1、2、3、4、5、6、7、8。 2. 要求在某一时刻,仅有一个LED 数码管发光。 3. 该数码管发光一段时间后,下一个LED 发光,这样8只数码管循环发光。 4. 当循环扫描速度足够快时,由于视觉暂留的原因,就会感觉8只数码管是在持续发光。 5、研究循环地址码发生器的时钟频率和显示闪烁的关系。 二、总体框图 设计的总体框图如图2-1所示。 图2-1总体框图 三、选择器件 1、数码管 数码管是一种由发光二极管组成的断码型显示器件,如图1所示。 U13 DCD_HEX 图1 数码管 数码管里有八个小LED 发光二极管,通过控制不同的LED 的亮灭来显示出 不同的字形。数码管又分为共阴极和共阳极两种类型,其实共阴极就是将八个 74LS161计数器 74LS138译码 器 数码管

LED的阴极连在一起,让其接地,这样给任何一个LED的另一端高电平,它便能点亮。而共阳极就是将八个LED的阳极连在一起。 2、非门 非门又称为反相器,是实现逻辑非运算的逻辑电路。非门有输入和输出两个端,电路符号如图2所示,其输出端的圆圈代表反相的意思,当其输入端为高电平时输出端为低电平,当其输入端为低电平时输出端为高电平。也就是说,输入端和输出端的电平状态总是反相的。其真值表如表1所示。 图2 非门 表1 真值表 输入输出 A Y 0 1 1 0 3、5V电源 5V VCC电源如图3所示。 图3 5V电源

led动态扫描

LED动态扫描实验 一、实验目的: 1、掌握数码LED的动态扫描显示原理 2、学习延时子程序的编写的使用 二、实验原理: 共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管。共阳数码管在应用时应将公共极COM接到+5V,当某一字段发光二极管的阴极为低电平时,相应字段就点亮。当某一字段的阴极为高电平时,相应字段就不亮。 数码管动态显示接口是单片机中应用最为广泛的一种显示方式之一,动态驱动是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,当单片机输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于单片机对位选通COM端电路的控制,所以我们只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的的COM端,就使各个数码管轮流受控显示,这就是动态驱动。在轮流显示过程中,每位数码管的点亮时间为1~2ms,由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感,动态显示的效果和静态显示是一样的,能够节省大量的I/O端口,而且功耗更低。

三、实验内容及过程: 1、流程图

2、实验中碰到的问题 实验中我用了两排插孔来代替数码管的两排引脚焊接在实验板上,东西都焊好之后,把数码管插在插孔里,有的时候就会出现接触不好的状况,我认为,以后还是把数码管焊上去才不会出现接触的问题。 四、实验现象: 在烧入程序后,数码管上的数字呈现从1开始逐个递增的显示 五、程序: ORG 0000H MAIN: MOV 7AH,#00H MOV 7BH,#00H MOV 7CH,#00H MOV 7DH,#00H MOV R1,#7AH MAIN0: MOV A,7AH MAIN1: CJNE A,#0AH,BJW MOV 7FH,R1 JW: MOV @R1,#00H INC R1

触摸开关毕业设计

触摸开关的设计

摘要 市场经济的发展,人们对开关的需求越来越高,开关的种类也因此越来越齐全。声控开关、机械开关、光控开关等产品逐步进入生活中。触摸开关是一种新型的电子节能开关,可广泛应用于多层住宅和办公室外的走廊、门厅、楼梯间、电梯间、过道等公共场所,也可以在家庭安装。本次设计利用模拟电路和数字电路,以直流稳压电源电路、NE555单稳态电路、JK触发器电路和继电器控制电路为核心设计触摸开关。需要开关灯时,手指触摸开关感应区,电灯自动点亮,输出一毫秒脉冲,触发器翻转,电灯自动亮和灭。该操作简单,使用节能,又没有声控开关有声音就亮的弊端。本设计中电路部分主要由NE555定时器,直流稳压电路和继电器控制电路组成。具备以下功能特点:节约电能,无污染,安全可靠。 关键词:触摸;脉冲;感应 ABSTRACT

The development of market economy, people to switch the demand is higher and higher, the types and therefore less switch is complete. Sonic switch, mechanical switch, optical switch etc. Product has gradually taken into life. Touch switch is a new type of electronic energy saving switch, can be widely used in multi-storey residential and office corridors outside, vestibular, stair, elevator, corridor in public places, also can be in home installation. This design using analog and digital circuit, in order to direct current voltage-stabilized source circuit, NE555 single state circuit, JK flip-flop circuit delay touch switch and relay control circuit is designed.Need to be open to turn off the lights, finger touch switch induction area, the light automatic light up, a millisecond pulse output, the trigger, the lights on and off automatically.The operation is simple, the use of energy saving, and no voice control switch the disadvantages of a voice to shine.Mainly by NE555 timer, dc voltage regulator circuit and relay control circuit.Has the following functions: managing electric energy, no pollution, safe and reliable. Keywords: touch; pulse; induction

数字显示电路设计说明

物理与电子工程学院 《数字电路》课程设计报告书 设计题目:数字显示电路设计 专业:自动化 班级: 10级1班 学生:想 学号: 2110341106 指导教师:胡林 年月日

物理与电子工程学院课程设计任务书 专业:自动化班级: 10级2班

摘要 采用动态扫描的方式实现设计要求。动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码。各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。因此在同一时刻如果各位数码管的位选线都处于选通状态的话,6位数码管将显示相同的字符。若要各位数码管能够显示出与本位相应的字符,就必须采用扫描显示方式,即在某一时刻,只让某一位的位选线处于导通状态,而其它各位的位选线处于关闭状态。同时,段线上输出相应位要显示字符的字型码。这样在同一时刻,只有选通的那一位显示出字符,而其它各位则是熄灭的,如此循环下去,就可以使各位数码管显示出将要显示的字符。 MAX+PLUS II 是一个完全集成化的可编程逻辑环境,能满足用户各种各样的设计需要。它支持Altera公司不同结构的器件,可在多平台上运行。MAX+PLUS II 具有突出的灵活性和高效性,为设计者提供了多种可自由选择的设计方法和工具。 丰富的图形界面,可随时访问的在线帮助文档,使用户能够快速轻松地掌握和使用MAX+PLUSII软件。 MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日完成实现设计项目的多次修改,直至最终设计定型。 MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。 关键词:数字显示电路;动态扫描;段码

双键触摸开关与单键触摸延迟开关电路制作

双键触摸开关与单键触摸延迟开关电路制作 图1和图2是采用555时基电路制作的双键触摸开关与单键触摸延迟开关。图1中M1是“开”触摸片,当人手触碰时,人体感应的杂波信号加到时基电路的低电平触发端IC的②脚,电路置位,③脚输出高电平,继电器K得电吸合,其常开触点闭合,被控电器通电工作。M2为“关”触摸片,一旦触碰,人体感应的杂波信号加到555的阈值端IC⑥,电路复位,③脚输出低电平,继电器失电跳闸,被控电器停止工作。 图2是延迟开关电路,555集成块接成单稳态触发器,平时处于复位状态,继电器K 不动作。当M受到触摸时,电路被触发进人暂态,③脚输出高电平,继电器K吸合,被控电器工作。暂态时间t=1.1R2 X C4,暂态时间结束,电路翻转成稳态,继电器K释放,被控电器停止工作。 图3是一个电源电路采取特殊设计的用555时基电路制作而成的触摸开关,它对外仅两根引出线,因此可直接取代普通开关而不必更改电源布线。EL是不大于25W的白炽灯或交流接触器。虚线左部为普通照明线路,右部为触摸开关电路。IC处于复位状态时,③脚

输出低电平,晶闸管VS的门极通过电阻R3被钳位在低电平,故VS关断,EL不亮,此时5 55的工作电源由220V交流电经灯EL、二极管VD1~VD4整流、电阻R2限流、VD5稳压与IC1滤波获得约6V直流工作电压供电。当555时基电路②脚受触发处于置位时,IC③脚输出高电平,VS开通,EL点亮发光。VS开通后,555工作电源直接由灯EL、二极管VD1~VD4、晶闸管VS与稳压管VD5构成回路,C1两端仍能获得6V直流工作电压,只是此时电阻R2不起作用。 电路的右部时基电路部分与图1相同,如将图2左部电源按图3改动,也可以方便地制成一个对外只有两根引出线的触摸延迟开关。有一点需要特别注意的是本电路的负载能力是由VD1~VD4、VS及VD5共同决定的,其中薄弱环节是VD5,本电路VD5采用1W、6V的稳压管,其最大通态电流为0.16A,为确保电 路可靠工作,EL宜用不大于25W的白炽灯。 图4是用双D触发器制作的触摸开关。CD4013是双D触发器,分别接成一个单稳态电路和一个双稳态电路。单稳态电路的作用是对触摸信号进行脉冲展宽整形,保证每次触摸动作都可靠。双稳态电路用来驱动晶闸管VS。当人手摸一下M,人体泄漏的交流电在电阻R2上的压降,其正半周信号进入③脚CP1端,使单稳态电路翻转进入暂态.其输出端Q1即①脚跳变为高电平,此高电平经R3向C1充电,使④电位上升,当上升到复位电平时,单稳态电路复位,①脚恢复低电平。所以每触摸一次M,①脚就输出一个固定宽度的正脉冲。此正脉冲将直接加到11脚CP2端,使双稳态电路翻转一次,其输出端Q2即13脚电平就

模拟电路课程设计..

模拟电子技术课程设计任务书 一、课程设计的任务 通过理论设计和实物制作解决相应的实际问题,巩固和运用在《模拟电子技术》中所学的理论知识和实验技能,掌握常用模拟电路的一般设计方法,提高设计能力和实践动手能力,为以后从事电子电路设计、研发电子产品打下良好的基础。 二、课程设计的基本要求 1、掌握电子电路分析和设计的基本方法。包括:根据设计任务和指标初选电路;调查研究和设计计算确定电路方案;选择元件、安装电路、调试改进;分析实验结果、写出设计总结报告。 2、培养一定的自学能力、独立分析问题的能力和解决问题的能力。包括:学会自己分析解决问题的方;对设计中遇到的问题,能通过独立思考、查询工具书和参考文献来寻找解决方案,掌握电路测试的一般规律;能通过观察、判断、实验、再判断的基本方法解决实验中出现的一般故障;能对实验结果独立地进行分析,进而做出恰当的评价。 3、掌握普通电子电路的生产流程及安装、布线、焊接等基本技能。 4、巩固常用电子仪器的正确使用方法,掌握常用电子器件的测试技能。 5、通过严格的科学训练和设计实践,逐步树立严肃认真、一丝不苟、实事求是的科学作风,并逐步建立正确的生产观、经济观和全局观。

三、课程设计任务 课题4 逻辑信号电平测试器的设计 (一)设计目的 1、学习逻辑信号电平测试器的设计方法; 2、掌握其各单元电路的设计与测试方法; 3、进一步熟悉电子线路系统的装调技术。 (二)设计要求和技术指标 在检修数字集成电路组成的设备时,经常需要使用万用表和示波器对电路中的故障部位的高低电平进行测量,以便分析故障原因。使用这些仪器能较准确地测出被测点信号电平的高低和被测信号的周期,但使用者必须一面用眼睛看着万用表的表盘或者示波器的屏幕,一面寻找测试点,因此使用起来很不方便。 本课题所设计的仪器采用声音来表示被测信号的逻辑状态,高电平和低电平分别用不同声调的声音来表示,使用者无须分神去看万用表的表盘或示波器的荧光屏。 1、技术指标: (1)测量范围:低电平<1V,高电平>3V; (2)用1.5KH Z的音响表示被测信号为高电平; (3)用500H Z的音响表示被测信号为低电平;

基于单片机的8255动态显示设计

物理与电气工程学院课程设计报告基于单片机的8255动态显示设计 姓名王秋雨 学号 111102042 专业电子信息工程 指导教师李艾华 成绩 日期 2013.06.22

基于单片机的8255动态显示设计 王秋雨 (安阳师范学院物理与电气工程学院河南安阳455002) 摘要:数码管是非常常见的东西,他能显示数字以及字母,应用非常的广泛。本文和大家谈谈如何用单片机来驱动数码管以及用扩展芯片来实现单片机对数码管的管理。本文以显示06:18:52开始。 关键字:单片机AT89S52 ,电子时钟,汇编语言,8255,动态显示 1 引言 随时代的发展,生活节奏的加快,人们的时间观念愈来愈强;随自动化、智能化技术的发展,机电产品的智能度愈来愈高,用到时间提示、定时控制的地方也会愈来愈多,因此,设计开发数字时钟具有良好的应用前景。由于单片机价格的低成本、高性能,在自动控制产品中得到了广泛的应用。本设计利用Atmel公司的AT89S52单片机对电子时钟进行开发,设计了实现所需功能的硬件电路,应用汇编语言进行软件编程,并用实验板进行演示、验证。在介绍本单片机的发展情况基础上,说明了本设计实现的功能,以及实验板硬件情况,并对各功能电路进行了分析。主要工作放在软件编程上,用实验板实现时间、日期、定时及它们的设定功能,详细对软件编程流程以及调试进行了说明,并对计时误差进行了分析及校正,提出了定时音与显示相冲突问题及解决方案。实验证明效果良好,可以投入使用。 动态扫描显示接口是单片机中应用最为广泛的一种显示方式之一。其接口电路是把所有显示器的8个笔划段a-h同名端连在一起,而每一个显示器的公共COM是各自独立地受I/O线控制。CPU向字段输出口送出字形码时,所有显示器接收到相同的字形码,但究竟是那个显示器亮,则取决于COM端,而这一端是由I/O控制的,所以我们就可以自行决定何时显示哪一位了。而所谓动态扫描就是指我们采用分时的方法,轮流控制各个显示器的COM端,使各个显示器轮流点亮。在轮流点亮扫描过程中,每位显示器的点亮时间是极为短暂的(约1ms),但由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位显示器并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。动态显示的效果和静态显示是一样的,能够节省大量的I/O端口,而且功耗更低。 2 技术要求 用单片机和8255扩展芯片实现数码管的显示。 3 方案论证 3.1单片机设计 这种方案采用AT89C52单片机作为系统的控制核心。用8255做扩展,显示出六个代显数据。进行加一的运算。而且单片机具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等点,因此特别适合于与控制有关的系统,越来越广泛地应用于自动控制。液晶显示器具有体积小、外形薄、重量轻、耗能少、工作电压低、无辐射,特别是视域宽、显示信息量大等优点。 3.2LED数码显示模块 方案一:静态显示方式。

-轻触开关工作原理图

轻触开关,是属于现代化之下的电子元件开关,属于电子电器一类。而所谓的四脚轻触开关,在开关上有四个脚,就被称之为四脚轻触开关。这种开关在当今时下的应用中可谓是相当的广泛,尤其是在家用的电器方面,比如玩具、遥控器、影音产品、通讯产品、数码产品等一类的电子电器之中。那么问题就来了,轻触开关的原理是怎样的呢? 轻触开关,又被称之为按键开关,这种开关最早出现的地方则是在日本,使用轻触开关的时候,以满足操作力条件,向开关进行施压,以此来进行开启、关闭的功能。当撤销施压的时候,轻触开关就会被关闭,其轻触开关内部结构都是依靠金属弹片来保护受力情况,实现接通、断开。 轻触开关型号: 不同条件或者是不同环境下,使用的轻触开关,都会有不同或者是有所不同。这就要对不同系列的规格型号有一个相当熟稔的掌握。

轻触开关主要规格有侧插式、贴片式、插件式等,按包装方式可分为:散装人工插件、SMT贴片封装、DIP插件编带封装。 轻触开关常用的尺寸有:2*4轻触开关 3*3轻触开关 3.7*3.7*0.35轻触开关 4*4*1.5/1.6/17mm轻触开关 3*6*4.3/5.0mm汽车轻触开关 4*6*2.6轻触开关 4.5*4.5*3.8/4.8/5/0/6/7/8/9轻触开关 6*6*4.3/5.0/5.5/6/7/8/9/9.5/10/11/12/13/14/15/16/17/18/19/ 20/21/26mm轻触开关6.2*6.2*2.5/2.7/3.1/3.4轻触开关 12*12*4.3/5.0/5.5/6/7/8/9/10/11/12/13/14/15/16/17/18/19/20 /21/22/23mm轻触开关 6*6系列防水轻触开关 8*8系列防水轻触开关 10*10系列防水轻触开关 12*12系列防水轻触开关。 轻触开关应用范围: 彩色电视机、黑白电视机、音响设备、录像机、摄像机、计算机、

数字显示电路设计讲课教案

数字显示电路设计

物理与电子工程学院 《数字电路》课程设计报告书 设计题目:数字显示电路设计 专业:自动化 班级: 10级1班 学生姓名:李想 学号: 2110341106 指导教师:胡林 年月日

物理与电子工程学院课程设计任务书 专业:自动化班级: 10级2班

摘要 采用动态扫描的方式实现设计要求。动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码。各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。因此在同一时刻如果各位数码管的位选线都处于选通状态的话,6位数码管将显示相同的字符。若要各位数码管能够显示出与本位相应的字符,就必须采用扫描显示方式,即在某一时刻,只让某一位的位选线处于导通状态,而其它各位的位选线处于关闭状态。同时,段线上输出相应位要显示字符的字型码。这样在同一时刻,只有选通的那一位显示出字符,而其它各位则是熄灭的,如此循环下去,就可以使各位数码管显示出将要显示的字符。 MAX+PLUS II 是一个完全集成化的可编程逻辑环境,能满足用户各种各样的设计需要。它支持Altera公司不同结构的器件,可在多平台上运行。MAX+PLUS II 具有突出的灵活性和高效性,为设计者提供了多种可自由选择的设计方法和工具。 丰富的图形界面,可随时访问的在线帮助文档,使用户能够快速轻松地掌握和使用MAX+PLUSII软件。 MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修改,直至最终设计定型。MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。 关键词:数字显示电路;动态扫描;段码

实验3 数码管扫描显示电路(1)

实验三数码管扫描显示电路 一、实验目的 1、掌握数码管动态扫描显示数据的原理; 2、掌握利用EDA软件和VHDL语言设计较复杂时序逻辑电路的方法; 二、实验原理 常用的显示器件有发光二极管、数码管、液晶显示器等,其中最常用的是数码管。数码管显示数据有两种方式:静态显示方式和动态(扫描)显示方式。 所谓静态显示方式,就是将被显示的数据的BCD码过各自的4—7/8段译显示译码器译码后,分别接到显示译码器的显示驱动端a~g/p,而公共端COM则根据数据管的类型(共阴极/共阳极)分别接到GND/VCC。静态显示的优点是控制简单,有几个数码管就用几个译码器,不必修改程序,十分简便。但当系统所需的数码管较多时,这种方法既耗资源,又占用较多的I/O口,N个数码管需要占用7N个引脚(若需要显示小数点,则是8N个引脚)。因此,该接法适合于系统中数码管数量不多的应用场合。 所谓动态显示方式,就是采用分时的方法,使各个数码管逐个轮流受控显示。在轮流点亮扫描过程中,每个数码管的点亮时间是极为短暂的(约1ms),但由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各个数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。在扫描显示方式中,所有数码管的8个笔划段a-h同名端连在一起,所有数码管接收到相同的字形码,但究竟是那个显示器亮,取决于COM端。扫描显示的优点在于消耗的系统资源少,占用的I/O口少,N个数码管只需(7+N)个引脚((若需要显示小数点,则是8+N个引脚)。其缺点是控制起来不如静态显示方便。 下图3.1 给出了8个数码管动态扫描显示的接口电路图。如果显示器采用共阴极数码管时,则 图5.1 数码管动态扫描显示接口电路图

触摸开关电路

录 联系QQ:619014727 提供毕业设计(论文)服目 摘要 (4) 关键字 (4) 一.引 言 (4) 二.目标分 析 (4) 三.电路结构的设计及工作原理的设 想 (4) 四.设计的思想和依 据 (7) 4.1常用集成电路简介 (7) 4.1.1半导体集成电路型号命名法 (7) 4.1.2集成电路芯片管脚识别 (8) 4.1.3集成三端稳压器 (9) 4.1.4集成电路CD4069 (10) 4.2光敏电阻的工作原理 (11) 4.2.1光敏电阻器的结构、特性及应用 (10) 4.2.2光敏电阻器的分类 (11)

五.主要器件的检 测 (13) 5.1光敏电阻的检测 (13) 5.2集成电路C D4069各引脚间的开路电阻的检测 (13) 六.元件 表 (14) 七.总 结 (14) 八.结束 语 (15) 九.参考文 献 (15) 十. 附图:采用CD4069非门集成电路的:声、光、触摸三控 延时电路 (16) 声、光、触摸三控延时电路 作者: Lili 指导老师: Wanth 摘要:目前市场上所遇到的自熄开关主要有声光控延时开关,天黑以后,当有人走过楼摘梯通道发出脚步声或其它声音时,楼道灯会自动点亮提供照明,当人们走过楼道延时几秒钟后会自动熄灭。在白天,即使有声音,楼道灯也不会亮,即

可以达到节能的目的,但在有光无声的状态下开灯检查却不行。我设计的声、光、触摸三控延时电路就解决了这个问题,本人设计的是一款以CD4069数字电路为主要元件制作的声、光控制及人体触摸控制的延时照明灯电路。将该装置安装在楼道、走廊或卫生间等场所,在夜间,有人走动或发声时,灯会自动点亮延时数秒后自动熄灭。在白天,若触摸电极片A,则自动灯会受触发而点亮。 关键词:光控声控静电感应节能楼道照明灯毕业设计 一.引言 国标GB50096-1999《住宅建筑设计规范》规定,住宅中公共部分应设人工照明,除高层住宅的电梯厅和应急照明灯外,均应采用节能自熄开关。采用自熄开关的主要目的是改善人们的居住环境及节能。 目前市场上所遇到的自熄开关主要有声光控延时开关,天黑以后,当有人走过楼梯通道发出脚步声或其它声音时,楼道灯会自动点亮提供照明,当人们走过楼道延时几秒钟后会自动熄灭。在白天,即使有声音,楼道灯也不会亮,既可以达到节能的目的,但在有光无声的状态下开灯检查却不行。我设计的声、光、触摸三控延时电路就解决了这个问题,在任何状态下用手摸到触摸开关铜片,人体的静电感应电压经电子线路放大后就能将开关启动。实际使用价值更大。 二 .目标分析 l触摸电极片用1~2平方厘米的铜片做成代替触点开关,使用寿命长。 l声控灵敏度高,夜间的脚步声、说话声等均可将开关启动。 l电路光控强度可调。 l性能可靠,电路稳定。 三电路结构的设计及工作原理的设想 本人设计的是一款以CD4069数字电路为主要元件制作的声、光控制及人体触摸控制的延时照明灯电路。将该装置安装在楼道、走廊或卫生间等场所,在夜间,有人走动或发声时,灯会自动点亮延时数秒后自动熄灭。在白天,若触摸电极片A,则自动灯会受触发而点亮。

数字日历电路的设计

课程设计报告 课程名称:数字日历电路的设计 专业/班级:通信工程 姓名:王平 学号:0930******** 指导教师:栾华东

目的与要求 (1)设计基准脉冲电路产生的信号; (2)能进行年、月、日的计时以及独立的时间显示电路; (3)能进行星期的显示; (4)快速校时; (5)充分结合和利用所学的内容来完成; (6)选作内容 ○1可以进行某年某月某日的备忘预设置,到达备忘日期后,该日期可以以4Hz的频率闪动; ○2可以进行某年某月某日的备忘预设置,到达备忘日期后,报警灯闪动。 原理及方案 (1)多谐振荡器电路:这里利用了555定时器和RC组成的多谐振荡器,产生1kHz的信号。 (2)分频器电路:利用三片74LS90集成芯片构成分频器将1kHz信号分频得到1Hz的秒脉冲信号,同时再利用一片74LS90芯片得到2Hz的校时信号。 (3)校时电路:利用一个开关和或门逻辑元器件组合而成。可以分别对时间和年、月、日等进行校时。 (4)译码显示器:采用了共阴极的七段数字显示器,和译码器74LS48集成芯片组合成。 (5)时、分、秒计数电路:分别用两片74LS90集成芯片组成24进制、60进制、60进制作为时间的计数,均从0开始计数。

(6)星期计数电路:由74LS161构成的,从1开始计数到6,然后跳到8(星期日),完成一个星期的计数。 (7)年、月、日计数电路:年份的计数用4片74LS90构成104进制计数,月份由两片74LS90构成12进制并从1开始计数,而日也用74ls90构成经过数据选择器74LS151根据月份进行大月31进制、小月30进制、二月29进制的计数。 (8)备忘录预设置电路:由计数器、译码器和显示器构成可以对某日某月的设置。 (9)报警灯闪动系统:通过比较器74LS85对预设置和当前日期比较,相等时输出信号使灯闪动。 原理方框图如下:

EDA课程设计八位数码管扫描显示电路的设计资料

《EDA技术及应用》 课程设计报告 题目:八位数码管扫描显示电路的设计院(系):机电与自动化学院 专业班级:电气自动化技术1001 学生姓名: 学号: 20102822018 指导教师:何为 2012年6月10日至2012年6月23日

《EDA技术及应用》课程设计任务书 一、设计题目 八位数码管扫描显示电路的设计 二、设计主要内容 本课题要求掌握使用Quartus II设计数字系统的设计思路和设计方法。学习VHDL基本逻辑电路的综合设计应用。掌握VHDL语言的语法规范,掌握时序电路描述方法。掌握多个数码管动态扫描显示的原理及设计方法。 设计一个八位数码管共阴极动态扫描显示控制电路,要求显示学生自己的学号。利用实验室设备完成系统设计并进行运行调试。 1、具体设计内容如下: (1)静止显示学号; (2)动态循环显示学号。 2、提供设计报告,报告要求包括以下内容:设计思路、设计输入文件、设计与调试过程、模拟仿真结果和设计结论。 三、原始资料 1、LED显示模块原理 LED有段码和位码之分,所谓段码就是让LED显示出“8.”的八位数据,一般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的8位段码。位码也就是LED的显示使能端,对于共阳级的LED而言,高电平使能。要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。虽然8个LED是依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。 多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。 2、系统结构图信号名与芯片引脚对照表

数字显示电路

数字电子技术综合实验一 数字显示电路 组员: 目录 ●一、实验目的……………………………………………………、 3 ●二、设计要求……………………………………………、、4 ●三、各模块设计方案……………………………………、5 ●四、电路的焊接成型及工作检测………………、、14 ●五、实验感想及问题………………………、、…………、14 ●六、元件清单及制作费用………………………………………………、、21 一、实验目的 数字显示电路实验将传统的4个分离的基本实验,即基本门电路实验,编码器、显示译码器、7段显示器实验,加法器实验与比较器实验综合为‘—个完整的设计型的组合电路综合实验。通过本实验,要求我们熟悉各种常用MSI组合逻辑电路的功能与使用方法,学会组装与调试各种MSI组合逻辑电路,掌握多片MSI、SSI组合逻辑电路的级联、功能扩展及综合设计技术,使我们具有数字系统外围电路、接口电路方面的综合设计能力。 本次实验的目的为: 1、掌握基本门电路的应用,了解用简单门电路实现控制逻辑。 2、掌握编码、译码与显示电路的设计方法。

3、掌握用全加器、比较器设计电路的方法。 二、设计要求 操作面板左侧有16个按键,编号为0到15,另正面板右侧配2个共阳7段显示器,操作面板图如图1所示。 图1:显示电路面板示意图 设计一个电路:当按下小于10的按键后,右侧低位7段显示器显示数字,左侧7段显示器显示0;当按下大于9的按键后,右侧低位7段显示器显示个位数字,左侧7段显示器显示l。若同时按下几个按键,优先级别的顺序就是15到0。现配备1个4位二进制加法器74LS283,2个8线-3线优先编码器74LSl48,2个四2输入与非门74LS00,一个非门7404,2个显示译码器74LS47。 三、各模块设计方案 该数字显示电路为组合逻辑电路,可分为编码、译码与显示电路以及基本门电路、全加器电路。实验采用的主要器件有1个4位二进制加法器74LS283,2个8线-3线优先编码器74LSl48,2个四2输入与

动态扫描数码显示电路

1.课程设计目的 巩固所学理论,提高动手能力、创新能力和综合设计能力。 熟悉常用芯片的引脚功能。 了解动态扫描数码显示电路的组成及工作原理。 2.课程设计要求 任务要求:动态扫描数码现实电路设计,通过单路显示译码器驱动多路显示输出,同时动态扫描现实时达到无闪烁效果。 性能指标要求: (1)设计制作一个进行四位十进制数码显示电路。 (2)分时显示各位十进制数码。 (3)设计用于动态显示控制的脉冲发生电路。 (4)设计分时动态扫描显示控制的逻辑电路。 (5)输入的显示数据为8421BCD码,且并行输入。 3.电路图组成框图 图1 4.元器件清单 元器件:4位拨码开关4只,74LS161十六进制计数器—1片,74LS138译码器—1片,74LS240带三态输出反相器—4片,显示译码器7448, LED显示管 5 个 ,小灯4个,555定时器,Ω电阻一个,Ω电阻一个,10nF电容两个,电源,非门,与非门,导线若干。

仿真环境:软件Multisim。 5.各功能块电路图 脉冲发生电路 图2 该脉冲发生电路为由555定时器接成的多谐振荡器。其中R 1为Ω,R 2 为Ω,C 为10nF,则其产生脉冲的 频率为: 占空比为: 计数器 图3

该计数器主要由一个74LS161构成,CLK端接收来自脉冲发生电路中555定 时器的输出脉冲信号,74LS161对其脉冲进行计数,在其输出端Q D Q C Q B Q A 产生从 0000到1111的十六进制循环的高低电平信号,其Q B Q A 产生的高低电平信号为00、 01、10、11四进制的循环计数,若需要对六个数码管进行动态扫描显示,则需要将其改接成六进制计数器。 译码器 图4 该译码器使用的是74LS138,输入端AB接收来自计数器Q A Q B 的高低电平信 号,并对其进行译码,因为计数器产生的信号是四进制的,所以只需要用到AB 两位,C端接低电平,输出端Y 0-Y 4 根据输入信号的状态,对应位为低电平。 显示控制及显示译码 图5 该部分由显示译码、显示控制以及拨码开关组成,是本电路的关键部分。显

ttp223触摸开关电路图

STM32单片机应用基础与项目实践-微课版: 本书以STM32单片机的多个实训案例贯穿全书,共4篇,22章。第一篇为预备篇(第1~4章),主要介绍必备基础知识;第二篇为基础篇(第5~12章),主要介绍STM32单片机系统结构原理和功能,详细讲解了STM32基础实训的设计和实现;第三篇为应用篇(第13~18章),通过理论和实训介绍了相关模块的原理、结构及应用,讲解STM32外围设备模块应用;第四篇为实战篇(第19~22章),介绍以STM32单片机为核心的4个实际应用系统的设计与实现。 书中提供的16个实训案例涵盖STM32单片机的基础和外设应用,每章设置大量思考和扩展题目,以增强读者兴趣,引导读者进一步思考和设计扩展应用。此外,书中全面讲解了4个完整应用系统的设计过程,对于本科生创新创业训练项目及实际工程项目设计具有很好的参考价值。 本书配套资源丰富,包括PPT课件、实训操作视频以及全部完整工程代码文件。 本书针对STM32单片机教学、综合实训及创新实践的需求,可供物联网、自动化、电子信息工程等相关专业本科生选用,也可供计算机科学与技术、电子科学与技术、控制工程、通信工程、信息安全、智能科学与技术等相关专业选用,还可供需要掌握STM32单片机实际技能的爱好者作为参考书使用。 图书目录:

Contents 第一篇预备篇 第1章嵌入式系统 1.1嵌入式系统概述 1.1.1嵌入式系统的概念 1.1.2嵌入式系统的发展 1.1.3嵌入式系统的分类 1.2嵌入式系统组成 1.2.1嵌入式系统硬件组成 1.2.2嵌入式系统软件组成 1.3嵌入式系统应用 1.4嵌入式系统开发流程 1.4.1嵌入式硬件开发流程 1.4.2嵌入式软件开发流程 1.5本章小结 思考与扩展 第2章STM32嵌入式芯片 2.1ARM处理器 2.1.1ARM体系结构的特点 2.1.2ARM系列微处理器 2.2ARM Cortex M3系列处理器2.2.1ARM Cortex M3原理

设计数字显示电路

大连理工大学城市学院 数字电路与系统课程设计设计题目:设计数字显示电路 学院:电子与自动化学 专业: 学生: 同组人: 指导教师: 完成日期: 2012年3

目录第一章设计任务 1.1项目名称 1.2项目设计说明 1.2.1设计任务和要求 1.2.2进度安排 1.3项目总体功能模块图 第二章需求分析 2.1问题基本描述 2.2系统模块分解 2.3系统各模块功能的基本要求 第三章设计原理 3.1 设计原理 3.2 MAXPLUSII介绍 第四章系统功能模块设计 4.1计数模块 4.1.1计数模块流程图 4.1.2输入输出引脚及其功能说明 4.1.3程序代码实现 4.2数据选择模块 4.2.1数据选择模块流程图 4.2.2输入输出引脚及其功能说明 4.2.3程序代码实现

4.3七段译码显示模块 4.3.1七段译码显示模块流程图 4.3.2输入输出引脚及其功能说明 4.3.3程序代码实现 第五章调试并分析结果 5.1输入说明 5.2预计输出 5.3测试结果记录 5.4测试结果分析 第六章结论 6.1心得体会 6.2参考文献

第一章设计任务 1.1 项目名称:设计数字显示电路 本项目的主要内容是设计并实现8位数码管轮流显示8个数字。该电路将所学的数字电路与系统大部分知识和VHDL语言结合。 1.2项目设计说明 1.2.1设计任务和要求 A、用CPLD设计一个八位数码管显示电路; B、8位数码管轮流显示8个数字,选择合适的时钟脉冲频率实现8个数码 管同时被点亮的视觉效果。 1.2.2进度安排 第一周至第二周每周二2课时,共10课时。具体安排为:第一周至第三周 6课时自行设计、第四周实验结果验收、第五周交报告并进行答辩。 1.3项目总体功能模块图

工程师常用模拟电路设计1

工程师常用模拟电路设计、计算、仿真及制作 湖北民族大学杨庆 概述 模拟电路是电子技术类工程师必须熟练掌握的课程,在模拟电路中有许多基本电路是工程师们在设计电子系统必不可少的。例如,几乎绝大部分的电子系统都需要将交流电源变为直流电源,供电子系统使用,因此整流、滤波、稳压等模拟电路就成为电子工程师必须熟练掌握的电路。又如,各种传感器采集的信号通常都非常微弱,必须放大到一定程度,才能利用计算机处理,因此各种放大电路也就是工程师们必须熟练掌握的电路。但是在实际工作中,模拟电路往往并没有引起工程师们的足够重视。有鉴于此,本书将模拟电路中的常用电路的设计、计算、仿真及制作做一个归纳,供工程师及电子爱好者参考。 第一章二极管及其应用电路 1.1整流二极管及其应用电路 1.1.1二极管半波整流及电容滤波电路 1)二极管半波整流电路 最简单的二极管整流电路是二极管半波整流电路,其电路原理如图1.1所示。半波整流电路的计算参数主要有如下: V L=0.45V1 V D=V1 I L=V L/R L=0.45V1/R L 2)二极管半波整流电容滤波电路 二极管半波整流电容滤波电路如图1.2所示。半波整流电容滤波电路的计算参数主要有如下: V L=0.6V2 V D=V2 I L=V L/R L=0.6V2/R L 半波整流电路由于其纹波太大,应用较少,但在对电压要求不高时,由于其电路简单,仍然有一些应用,特别在输入交流电压的频率较高时,应用不少。 电路图1.1和电路图1.2仿真如图1.3及1.4所示。

D1 RL V1XSC1 A B Ext Trig + +_ _+_ 二极管半波整流电路简单,只要二极管极性注意不接反就行。 1.1.2二极管全波整流电路 1)二极管全波整流电路 常见的二极管全波整流电路如图1.5所示。全波整流电路的计算参数主要有如下: V L =0.9V 1 V D =2V 1 I L =V L /R L =0.9V 1/R L 全波整流电路需用一个双绕组变压器,通过二极管D1、D2将变压器次级电压V1整流变成两个同向的半波整流电压在RL 上合成为一个全波整流电压,其仿真波形图如图1.7所示。 2)二极管全波整流电容滤波电路 图1.1二极管半波整流电路图1.2二极管半波整流电容滤波电路 图1.3图1.1仿真输出电压波形图1.4图1.2仿真输出电压波形 图1.5全波整流电路

相关文档
最新文档