时钟电路

时钟电路
时钟电路

时钟电路

单片机是一种控制芯片,一个微型的计算机,而加上晶振,存储器,地址锁存器,逻辑门,七段译码器(显示器),按钮(类似键盘),扩展芯片,接口等那是单片机系统,以下是8051系列单片机原理和内部结构基础介绍

片内RAM结构和功能

特殊功能寄存器的用途和功能

程序计数器PC的作用和基本工作方式

I/O端口结构、工作原理及功能

时钟和时序

复位电路、复位条件和复位后状态

低功耗工作方式的作用和进入退出的方法

§2-1 单片机原理简介和引脚功能

一、内部结构

二、引脚功能

40个引脚大致可分为4类:电源、时钟、控制和I/O引脚。

⒈电源: ⑴ VCC - 芯片电源,接+5V;

⑵ VSS - 接地端;

⒉时钟:XTAL1、XTAL2 - 晶体振荡电路反相输入端和输出端。

⒊控制线:控制线共有4根,

⑴ ALE/PROG:地址锁存允许/片内EPROM编程脉冲

① ALE功能:用来锁存P0口送出的低8位地址

② PROG功能:片内有EPROM的芯片,在EPROM编程期间,此引脚输入编程脉冲。

⑵ PSEN:外ROM读选通信号。

⑶ RST/VPD:复位/备用电源。

① RST(Reset)功能:复位信号输入端。

② VPD功能:在Vcc掉电情况下,接备用电源。

⑷ EA/Vpp:内外ROM选择/片内EPROM编程电源。

① EA功能:内外ROM选择端。

② Vpp功能:片内有EPROM的芯片,在EPROM编程期间,施加编程电源Vpp。

⒋ I/O线

80C51共有4个8位并行I/O端口:P0、P1、P2、P3口,共32个引脚。P3口还具有第二功能,用于特殊信号输入输出和控制信号(属控制总线)。

§2-1 存储空间配置和功能

80C51的存储器组织结构可以分为三个不同的存储空间,分别是:

⑴ 64KB程序存储器(ROM),包括片内ROM和片外ROM;

⑵ 64KB外部数据存储器(外RAM);

⑶ 256B内部数据存储器(内RAM)

(包括特殊功能寄存器)。

8051单片机存储空间配置原理

一、程序存储器(ROM)

地址范围:0000H~FFFFH,共64KB。其中:

低段4KB:0000H~0FFFH

80C51和87C51在片内,80C31在片外。

高段60KB:1000H~FFFFH。在片外。

读写ROM用MOVC指令,控制信号是PSEN和EA。

读ROM是以程序计数器PC作为16位地址指针,依次读相应地址ROM中的指令和数据,每读一个字节,PC+1→PC,这是CPU自动形成的。

但是有些指令有修改PC的功能,例如转移类指令和MOVC指令,CPU将按修改后PC的16位地址读ROM。

读外ROM的过程:

CPU从PC中取出当前ROM的16位地址,分别由P0口(低8位)和P2口(高8位)同时输出,ALE信号有效时由地址锁存器锁存低8位地址信号,地址锁存器输出的低8位地址信号和P2口输出的高8位地址信号同时加到外ROM 16位地址输入端,当PSEN信号有效时,外ROM将相应地址存储单元中的数据送至数据总线(P0口),CPU读入后存入指定单元。

二、外部数据存储器(外RAM)

地址范围:0000H~FFFFH 共64KB。

读外RAM的过程:

外RAM 16位地址分别由P0口(低8位)和P2口(高8位)同时输出,ALE信号有效时由地址锁存器锁存低8位地址信号,地址锁存器输出的低8位地址信号和P2口输出的高8位地址信号同时加到外RAM 16位地址输入端,当RD信号有效时,外RAM将相应地址存储单元中的数据送至数据总线(P0口),CPU读入后存入指定单元。

三、单片机内部数据存储器原理(内RAM)

从广义上讲,8051内RAM(128B)和特殊功能寄存器(128B)均属于片内RAM空间,读写指令均用MOV指令。但为加以区别,内RAM通常指00H~7FH的低128B空间。

8051内RAM又可分成三个物理空间:工作寄存器区、位寻址区和数据缓冲区。

作用:

有专用于工作寄存器操作的指令,读写速度比一般内RAM要快,指令字节比一般直接寻址指令要短,还具有间址功能,能给编程和应用带来方便。

工作寄存器区分为4个区:0区、1区、2区、3区。每区有8个寄存器:R0~R7,寄存器名称相同。但是,当前工作的寄存器区只能有一个,由PSW中的D4、D3位决定。

⒉位寻址区

⑴地址:

从20H~2FH共16字节(Byte,缩写为英文大写字母B)。每B有8位(bit,缩写为小写b),共128位,每一位均有一个位地址,可位寻址、位操作。即按位地址对该位进行置1、清0、求反或判转。

⑵用途:

存放各种标志位信息和位数据。

⑶注意事项:

位地址与字节地址编址相同,容易混淆。

区分方法:位操作指令中的地址是位地址;

字节操作指令中的地址是字节地址。

位寻址区的位地址映象表

⒊数据缓冲区

内RAM中30H~7FH为数据缓冲区,用于存放各种数据和中间结果,起到数据缓冲的作用。

四、特殊功能寄存器(SFR)

特殊功能寄存器地址映象表(一)

特殊功能寄存器地址映象表(二)特殊功能寄存器地址映象表(三)

⑶程序状态字寄存器PSW

PSW也称为标志寄存器,了解这个对于了解单片机原理非常的重要,存放各有关标志。其结构和定义如下:

① Cy —进位标志。

用于表示Acc.7有否向更高位进位。

② AC —辅助进位标志。

用于表示Acc.3有否向Acc.4进位。

③ RS1、RS0 —工作寄存器区选择控制位。

RS1、RS0 = 00 —— 0区(00H~07H)

RS1、RS0 = 01 —— 1区(08H~0FH)

RS1、RS0 = 10 —— 2区(10H~17H)

RS1、RS0 = 11 —— 3区(18H~1FH)

④ OV —溢出标志。

表示Acc在有符号数算术运算中的溢出。

⑤ P —奇偶标

志。表示Acc中“1”的个数的奇偶性。

⑥ F0 、F1 —用户标志。

⑷数据指针DPTR

16位,由两个8位寄存器DPH、DPL组成。主要用于存放一个16位地址,作为访问外部存储器(外RAM和ROM)的地址指针。

⑸堆栈指针SP

专用于指出堆栈顶部数据的地址。

§2-3 I/O端口结构及工作原理

※有4个8位并行I/O口,共32条端线:

P0、P1、P2和P3口。

每一个I/O口都能用作输入或输出。

※用作输入时,均须先写入“1”;

用作输出时,P0口应外接上拉电阻。

※ P0口的负载能力为8个LSTTL门电路;

P1~P3口的负载能力为4个LSTTL门电路。

※在并行扩展外存储器或I/O口情况下,

P0口用于低8位地址总线和数据总线(分时传送)

P2口用于高8位地址总线,

P3口常用于第二功能,

用户能使用的I/O口只有P1口和未用作第二功能

的部分P3口端线。

§2-4 时钟和时序原理

一、时钟电路

二、时钟周期和机器周期

⑴时钟周期。

80C51振荡器产生的时钟脉冲频率的倒数,是最基本最小的定时信号。

⑵机器周期。

80C51单片机工作的基本定时单位,简称机周。

§2-5 复位和低功耗工作方式

80C51单片机的工作方式共有四种:

⑴复位方式;

⑵程序执行方式;

⑶低功耗方式;

⑷片内ROM编程(包括校验)方式。

一、复位方式

⒈复位条件

RST引脚保持2个机器周期以上的高电平。

⒉复位电路

⒊复位后CPU状态

PC: 0000H TMOD: 00H

Acc: 00H TCON: 00H

B: 00H TH0: 00H

PSW: 00H TL0: 00H

SP: 07H TH1: 00H

DPTR:0000H TL1: 00H

P0~P3:FFH SCON: 00H

IP:×××00000B SBUF:不定

IE:0××00000B PCON: 0×××0000B

二、低功耗工作方式

⑴待机(休闲)方式(Idle)

⑵掉电保护方式(Power Down)。

两种低功耗工作方式由电源控制寄存器PCON确定。

其中:

SMOD:波特率倍增位(在串行通信中使用)

GF1、GF0:通用标志位

PD:掉电方式控制位,

PD=1,进入掉电工作方式;

IDL:待机(休闲)方式控制位,

IDL=1,进入待机工作方式。

(1) 待机(休闲)方式

(2) 待机(休闲)状态进入

只要使PCON中IDL位置1。

⑶待机(休闲)状态退出

①产生中断;

②复位。

⒉掉电保护方式

⑴掉电保护方式状态

●片内振荡器停振,所有功能部件停止工作;

●片内RAM数据信息保存不变;

● ALE、PSEN为低电平;

● Vcc可降至2V,但不能真正掉电。

⑵掉电保护状态进入

只要使PCON中PD位置1。

⑶掉电保护状态退出

唯一方法是硬件复位,复位后片内RAM数据不变,特殊功能寄存器内容按复位状态初始化。工业种常用的PLC实际就是单片机系统,一般在家用电器(比如微波炉洗衣机彩电等),手机等,都是单片机系统,当然有8,16,和32位之分了。单片机叫“中介机”吗?这种说法准确吗?单片因为资源有限,自身没有开发能力,必须借助开发工具来开发应用它,以上是单片机原理的基础介绍,这个东西还是需要进行大量的试验才能真正的理解,学好,建议您到电子论坛去学习

数字时钟设计原理

数字时钟设计——原理图一.实验目的 设计一个多功能数字中电路,基本功能为:①准确计时,以数字形式显示分、秒的时间;②分和秒的计时要求为60进位;③校正时间。 二.设计框图和工作原理 由振荡器产生高稳定的高频脉冲信号,作为数字钟的时间基准(系统时钟),再经分频器输出标准秒脉冲信号。秒计数器计满60后向分计数器进位,分计数器计满60后重新开始计时。计数器的输出经译码器送显示器。计时出现误差时可以用校时电路进行校分。 三.设计方案

1.振荡器的设计 振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。一般来说,振荡器的频率越高,计时精度越高。 在这里我们选用由集成电路定时器555与RC组成的多谐振荡器。这里选用555构成的多谐振荡器,输出振荡频率v0=1KHz的脉冲,电路参数如下图所示。 2.分频器的设计 选用3片中规模集成电路计数器74LS90可以完成分频功能。因为每片为1/10分频,3片级联则可获得所需要的频率信号,即第1片的Q3端输出频率为100HZ,第2片的Q3端输出为10Hz,第3片的Q3端输出为1Hz。分频电路如下图所示:

3.分秒计数器的设计 分和秒计数器都是模M=60的计数器,其计数规律为:00-01-… -58-59-00…选74LS92作十位计数器,74LS90作个位计数器。再将它们级联组成模数M=60的计数器。分秒计数电路如下: 74LS90的原理图如下: 74LS92的原理图如下: 4.校时电路的设计 当数字钟接通电源或者计时出现误差时,需要校正时间(或称校时)。校时是数字钟应具备的基本功能。一般电子手表都具有时、分、秒等校时功能。为使

时钟电路基本原理

1时钟供电组成 时钟电路主要由时钟发生器(时钟芯片)、、、和等组成。 ● 时钟芯片时钟芯片主要有S. Winbond、 PhaseLink. C-Medi a、IC. IMI等几个品牌,主板上见得最多的是ICS和Winbond两种,如图6-1、图6-2所示。 ● 晶振 时钟芯片通常使用的晶振,如图6-3所示。 晶振与组成一个谐振回路,从晶振的两脚之问产生的输入到时钟芯片,如图6-4所示。 判断品振是否工作,可以用测量晶振两脚分别对地是否有(以上),这是晶振工作的前提条件,再用示波器测量晶振任意一脚是否有与标称频率相同的振荡正弦波输出(这是最准确的方法)。在没有示波器的情况下,可以直接更换新的晶振和谐振电容,用替换法来排除故障。 2 时钟电路工作原理 时钟电路的1=作原理图,如图6-5所示。 时钟芯片有电压输入后(有的时钟芯片还有一组电压),再有一个好信号,表示主板各部位所有的供电止常,于是时钟芯片开始工作。 晶振两脚产生的基本频率输入到时钟芯片内部的,从振荡器出来的基本频率经过“频率扩展锁相网路”进行频率扩展后输入到各个,

最后得到不同频率的时钟输出。 初始默认输出频率由频率选择锁存器输入引脚FS(4:0)设置,之后可以通过IIC总线再进行设置。 多数时钟芯片都支持IIC总线控制,通过一根双向的数据线(SD ATA)和一根时钟线( SCLK)对芯片的时钟输出频率进行设置。 图6-5中: 48MHz USB与48MHz DOT为固定48MHz时钟输出;3V66(3:1)共3组为的66MHz时钟输出: CPUCLKT (2:0)共3组为CPU时钟输出;CPUCLKC (2:0)共3组为CPU时钟输出,与CPUCLKT互为;CLK (6:0)共7组为 33MHz 的PCI时钟输出,输出到PCI插槽,有多少个PCI插槽就使用多少组。 主板的时钟分布如图6-6所示,内存总线时钟由北桥供给,部分主板电路设计有独立的内存时钟发生器,如图中虚线所示。 外频进入CPU后,乘以CPU的就是CPU实际的运行频率。例如外频是200MHz,CPU的倍频是14,那么CPU的实际运行频率是:200MHz ×14=。前端总线的频率是外频的整倍数。例如外频足133MHz,CPU 需要使用的前端总线频率是533MHz,那么就必须将133MHz外频4倍扩展,即133MHz×4=532MHz≈533MHz。 3 时钟电路故障检测 时钟电路故障通常足:全部无时钟,部分无时钟,时钟信号幅值(最高点电压)偏低。 其表现是开机无显示或不能开机。 诊断卡只能诊断PCI插槽或插槽有无时钟信号,并不代表主板其他部分的时钟就正常。最好使用示波器测量各个插槽的时钟输入脚或时钟芯片的各个时钟输出脚,看其频率和幅值是否符合,这是最准确的方法。 现在的CPU外频都已达到200MHz或更高,所以要测量CPU外频,要求示波器的带宽应在200MHz以上。

时钟发生器电路 ROHM

SAM3U系列时钟发生器 由以下部件组成: ?1个低功耗的频率为32768Hz的慢时钟振荡器,可以被旁路。 ?1个低功耗RC振荡器时钟。 ?1个频率为3-20MHz的晶体振荡器(使用USB时必须为12MHz),可以被旁路。 ?1个出厂已编程的快速RC振荡器,有3种输出频率可供选择:4、8或12MHz,默认情况下为 4Mhz。 ?1个480MHz UTMI PLL,为高速USB设备控制器提供时钟。 ?1个频率为96-192MHz的可编程PLL(输入频率为8-16MHz),可向处理器和外设提供MCK 时钟。 它能够提供如下时钟: ?SCLK,慢时钟,也即系统内唯一的常设时钟。 ?MAINCLK,主时钟振荡器(Main Clock Oscillator)选择单元的输出时钟:晶体振振荡器或 4/8/12MHz快速RC振荡器。 ?PLLACK,分频器和PLL(PLLA)的输出时钟,其中PLL(PLLA)的频率可编程为96- 192MHz。 ?UPLLCK,480MHz UTMIPLL(UPLL)的输出时钟。 时钟发生器用户接口内嵌在功耗管理控制器中,27.13节“功耗管理控制器(PMC)用户接口”中 描述了时钟发生器的用户接口。不过,时钟发生器寄存器命名的前缀为CKGR_。 461

26.2 2626--1.框图 Slow Clock SLCK Main Clock MAINCK PLLA Clock PLLACK UPLL Clock UPLLCK SAM3U 系列 62

SAM3U系列 由低速晶体振荡器或低速RC振荡器产生。 慢时钟源可通过设置供电控制器的控制寄存器(SUPC_CR)的XTALSEL位来选择。 默认情况下,选择RC振荡器。 26.6.33.1RC振荡器 默认情况下,慢时钟RC振荡器是被选中和允许的,用户必须考虑RC振荡器可能产生的漂移。更 多细节可以参考本数据手册“DC特性”小节中。 通过设置供电控制器的控制寄存器(SUPC_CR)中XTALSEL位可禁止慢时钟RC振荡器。 26.6.33.2晶振 时钟发生器集成了一个频率为32,768Hz的低功耗振荡器。XIN和XOUT引脚必须连接到一个频率为 32,768Hz的晶振上。如图26-2所示,此时还必须连接两个外部电容。更多细节可参考本产品数据 手册“DC特性”小节。 注意:用户不是必须得使用慢时钟晶振,可以使用RC振荡器来代替慢时钟晶振。在这种情况下,可 以不连接XIN和XOUT引脚。 26--2.典型慢时钟晶振连接 图26 慢时钟晶振,这样就不用连接晶振。在这种情况下,用户必须向XIN引脚提供外部时钟信号。在本产品手册电气特性章节中描述了XIN引脚在这些条件下的输入特性。 程序员必须确保将供电控制器模式寄存器(SUPC_MR)中的OSCBYPASS位和供电控制器控制 寄存器(SUPC_CR)中的XTALSEL位置1。 463

单片机时钟电路的设计

单片机时钟电路的设计 单片机内部虽有振荡电路,但要形成时钟必须在外总附加电路。 MCS-51单片机的时钟产生方法有如下两种。 1内部时钟方式 利用芯片内部的振荡电路,在XTAL1和XTAL2引脚上外接定时元件,内部振荡电路便产生自激振荡,用示波器可以观察到XTAL2输出时的时钟信号。 最常用的内部时钟方式是采用外接晶体(在频率稳定性要求不高而希望尽可能廉价时,可选用陶瓷谐振器)和电容组成的并联谐振回路,HMOS型和CHMOS型单片机和并联,谐振回路及参数相同。 振荡晶体可在1. 2MHz~12MHz之间。电容值无严格要求,但电容取值对振荡频率输出的稳定性、大小和振荡电路起振速度有少许影响,CX1和CX2可在20p~100pF间取值,但在60PF~70PF时振荡器有较高的频率稳定性。 在设计PCB板时,晶体或陶瓷谐振器和电容应尽可能靠近单片机芯片安装,以减少寄生电容,更好的保护振荡电路稳定可靠的工作。为了提高温度稳定性,采用NPO电容。2外部时钟方式 外部时钟方式是利用外部振荡信号源直接接入XRAL1或XTAL2。由于HMOS和CHMOS单片机内部时钟进入的引脚不同(CHMOS型单片同由XTAL1进入,HMOS 型单片机由XTAL2进入),其外部振荡信号源的接入方法也不同。HMOS型单片机的外部振荡信号接至XTAL2,而内部的反相放大器的输入端XTAL1应接地。由于XTAL2端的逻辑电平不是TTL的,故建议外接一个上拉电阻。而XTAL2不可以接地。 在CMOS电路中,因内部时钟引入端取自反相放大器的输入端(即与非门的输入端),故采用外部振荡信号源时接线方式与HNOS型有所不同,外部信号接至XTAL1,而XTAL2不可以接地。外部振荡信号通过去一个2分频的触发器而成为一个时钟信号。故对外部信号的占空比没什么要求,但高电平持续时间和低电平持续时间应大于20ns.

主板时钟电路工作原理

时钟电路工作原理:3.3v电源经过二极管和电感进入分频器后,分频器开始工作,和晶体一起产生振荡,在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450---700欧之间。在它的两脚各有1V左右的电压,由分频器提供。晶体两脚常生的频率总和是14.318M。 总频(OSC)在分频器出来后送到PCI槽的B16脚和ISA的B30脚。这两脚叫OSC测试脚。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC线上还电容。总频线的对地阻值在450---700欧之间,总频时钟波形幅度一定要大于2V电平。如果开机数码卡上的OSC灯不亮,先查晶体两脚的电压和波形;有电压有波形,在总频线路正常的情况下,为分频器坏;无电压无波形,在分频器电源正常情况下,为分频器坏;有电压无波形,为晶体坏。 没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率。有了总频,也不一定有频率。总频一定正常,可以说明晶体和分频器基本上正常,主要是晶体的振荡电路已经完全正常, 反之就不正常。 当总频产生后,分频器开始分频,R2将分频器分过来的频率送到南桥,在南桥处理过后送到P CI槽B8和ISA的B20脚,这两脚叫系统测试脚,这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1.5V,这两脚的阻值在450---700欧之间,由南桥提供。 在主板上RESET和CLK者是南桥处理的,在总频正常下,如果RESET和CLK都没有,在南桥电源正常情况下,为南桥坏。主板不开机,RESET不正常,先查总频。在主板上,时钟线 比AD线要粗一些,并带有弯曲。 二、主板时钟芯片电路及时序关系讲解 1、概述 主板时钟芯片电路提供给CPU,主板芯片组和各级总线(CPU总线,AGP总线,PCI总线,ISA总线等)和主板各个接口部分基本工作频率,有了它,电脑才能在CPU控制下,按步就班,协调地完成各项功能工作: 2、石英晶体多谐振荡器 a、解释说明,主板时钟芯片即分频器的原始工作振荡频率,由石英晶体多谐振荡器的谐振频率来产生,提供给分频率一个基准的14.318MHZ的振荡频率,它是一个多谐振荡器的正反馈环电路,也就是说它把输入作为输出,把输出作为输入的反馈频率,象这样一个永无休止的循环自激过程。 b、基本电路部分: c、分频器(时钟芯片)电路部分:分频器基本工作条件;石英晶体多谐振荡器提供14.318MHZ基准频率.;VCC(3.3V)工作电压(依具体时钟芯片而定);V SS接地线(~);滤波电容(对分频器产生的各级频率进行标正微调;分频器产生的各级总线时钟;CPU外部总线时钟频率(CPU CLOCK):66MHZ.100MHZ.133MHZ内存控制管理器总线时钟频率(DIMM):66MHZ.100.133MHZ;AGP总线时钟频率:66MH Z;PCI总线时钟频率:33MHZ;ISA总线时钟频率:8MHZ。 d、基本时序关系: CPU 66、100、133 PCI(33MHZ) ISA(8MHZ) 三、图解 频率发生器芯片

数字钟电路pcb设计

¥ 摘要 本设计针对数字钟PCB板设计较为复杂的问题,利用国内知名度较高、应用最广泛的电路辅助设计软件protel99se进行了电路板的设计。本设计介绍了各部分电路的构成及准确完成了数字钟PCB电路板的设计。本设计数字钟原理图分析入手,说明了在平台中完成原理图设计,电气检测,网络表生成,PCB设计的基本操作程序。数字钟的主要电路是由电源电路、显示电路、校时电路、晶体振荡电路组成。PCB是电子元器件的支撑体,是电子元器件电气连接的提供者。PCB的设计是以电路原理图为根据,实现电路设计者所需要的功能。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。 关键词:数字钟;PCB;原理图;芯片 — 【

目录 前言 (1) 第一章@ 第二章绪论 (2) 数字钟的研究背景和意义 (2) 数字钟的发展和趋势 (2) 第二章系统电路的绘制 (3) 电路组成方框图 (3) 电路原理图制作 (3) 原理图环境设置 (4) 绘制原理图 (5) $ 电气规则检查及网络表输出 (7) 原理图分析 (10) 晶体振荡器 (10) 分频器 (11) 计数器电路 (12) 显示和译码电路 (12) 电源电路 (13) 第三章电路板PCB设计 (14) , PCB设计规范 (14) PCB设计流程 (17) 输出光绘文件 (21) PCB制件作 (23)

心得体会 (25) 参考文献 (26) 附图 (27) 附表 (28) "

前言 PCB(Printed Circuit Board),中文名称为印制线路板,简称印制板,是电子工业的重要部件之一。几乎每种电子设备,小到电子手表、计算器,大到计算机,通讯电子设备,军用武器系统,只要有集成电路等电子元器件,为了它们之间的电气互连,都要使用印制板。在较大型的电子产品研究过程中,最基本的成功因素是该产品的印制板的设计、文件编制和制造。印制板的设计和制造质量直接影响到整个产品的质量和成本,甚至导致商业竞争的成败。 Protel系列电子设计软件是在EDA行业中,特别是在PCB设计领域具有多年发展历史的设计界软件,由于其功能强大,操作简单实用,近年来成为国内发展最快。 Protel 99已不是单纯的PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和FPGA设计等,覆盖了以PCB为核心的整个物理设计。该软件将项目管理方式、原理图和PCB图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 随着计算机事业的发展,在信息化时代,电路设计中的很多工作都可以用计算机来完成。这样就大大减轻了设计人员的体力劳动强度,并且保证了设计的规范性准确性。而Protel99SE技术已越来越为人们所关注,人们利用protel99SE绘制各种原理图,进而制作出各种各样的科技产品已经成为当今世界的一个不可或缺的组成部分,所以说Protel99SE技术已越来越显得重要。

单片机实时时钟电路的原理及应用

单片机实时时钟电路的原理及应用 1 引言现在流行的串行时钟电路很多,如DS1302、DS1307、PCF8485 等。这些电路的接口简单、价格低廉、使用方便,被广泛地采用。本文介绍的 实时时钟电路DS1302 是DALLAS 公司的一种具有涓细电流充电能力的电路, 主要特点是采用串行数据传输,可为掉电保护电源提供可编程的充电功能,并 且可以关闭充电功能。采用普通32.768kHz 晶振。 2 DS1302 的结构及工作原理DS1302 是美国DALLAS 公司推出的一种高性能、低功耗、带RAM 的实 时时钟电路,它可以对年、月、日、周日、时、分、秒进行计时,具有闰年补 偿功能,工作电压为2.5V~5.5V。采用三线接口与CPU 进行同步通信,并可 采用突发方式一次传送多个字节的时钟信号或RAM 数据。DS1302 内部有一个31×8的用于临时性存放数据的RAM 寄存器。DS1302 是DS1202 的升级产品,与DS1202 兼容,但增加了主电源/后背电源双电源引脚,同时提供了对后背电 源进行涓细电流充电的能力。 2.1 引脚功能及结构图1 示出DS1302 的引脚排列,其中Vcc1 为后备电源,VCC2 为主电源。在主电源关闭的情况下,也能 保持时钟的连续运行。DS1302 由Vcc1 或Vcc2 两者中的较大者供电。当Vcc2 大于Vcc1+0.2V 时,Vcc2 给DS1302 供电。当Vcc2 小于Vcc1 时,DS1302 由Vcc1 供电。X1 和X2 是振荡源,外接32.768kHz 晶振。RST 是复位/片选线,通过把RST 输入驱动置高电平来启动所有的数据传送。RST 输入有两种功能:首先,RST 接通控制逻辑,允许地址/命令序列送入移位寄存器;其次,RST 提供终止单字节或多字节数据的传送手段。当RST 为高电平时,所有的数据传 送被初始化,允许对DS1302 进行操作。如果在传送过程中RST 置为低电平, 则会终止此次数据传送,I/O 引脚变为高阻态。上电运行时,在Vcc≥2.5V之前,RST 必须保持低电平。只有在SCLK 为低电平时,才能将RST 置为高电平。

数字时钟电路图

多功能数字计时器设计 姓名:杨会章 学号: 1004220242 专业:通信工程 学院:电光学院 指导教师: 2021-9-15

目录 一、设计内容简介 (3) 二、电路功能设计要求 (3) 三、电路原理简介 (3) 四、各单元电路原理 1、脉冲发生电路 (3) 2、计时电路 (4) 3、译码显示电路 (4) 5、校分电路 (5) 4、清零电路 (6) 6、报时电路 (7) 7、基本电路原理图 (8) 8、动态显示原理 (9) 9、动态显示原理图 (10) 10、波形图 (11) 五、实验中问题及解决办法 (11) 六、附录 (12) 1、元件清单 (12) 2、芯片引脚图和功能表 (12) 3、参考文献 (15)

一、设计内容简介 实验采用中小规模集成电路设计一个数字计时器。数字计时器是由脉冲发生电路,计时电路,译码显示电路,和附加电路控制电路几部分组成。其中控制电路由清零电路,校分电路和报时电路组成。附加电路采用动态显示。 二、电路功能设计要求 1、设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下: 1)设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ); 2)设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能; 3)设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零。 4)设计校分电路:在任何时候,拨动校分开关,可进行快速校分。(校分隔秒) 5)设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz); 6)系统级联。将以上电路进行级联完成计时器的所有功能。 7)可以增加数字计时器附加功能:定时、动态显示等。 三、电路原理简介 32678Hz石英晶体振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器、D触发器输出标准秒脉冲。秒计数器记满60后向分计数器进位。计数器的输出经译码器送显示器。记时出现误差时可以用校时电路进行校分,校秒。利用74153四选一数据选择器和128Hz、64Hz时钟信号控制选择秒位、秒十位、分位输出到译码器,并选通相应的数码管,实现动态显示。 四、各单元电路原理 1、秒脉冲发生电路 采用32678Hz的石英晶体多谐振荡器作为脉冲信号源。经分频器CD4060的分频,从Q14端输出的2Hz的脉冲信号经D触发器组成的二分频电路得到1Hz 的秒脉冲信号。原理图如下:

电子技术数字时钟报告电路原理图

电子技术课程设计报告设计题目:数字电子时钟 班级: 学生姓名: 学号: 指导老师: 完成时间: 一.设计题目:数字电子时钟 二.设计目的: 1.熟悉集成电路的引脚安排和各芯片的逻辑功能及使用方法。 2.了解数字电子钟的组成及工作原理。 3.熟悉数字电子钟的设计与制作。 三、设计任务及要求 用常用的数字芯片设计一个数字电子钟,具体要求如下: 1、以24小时为一个计时周期; 2、?具有“时”、“分”、“秒”数字显示; 3、?数码管显示电路; 4、具有校时功能; 5、整点前10秒,数字钟会自动报时,以示提醒; 6、?用PROTEUS画出电路原理图并仿真验证; 四、设计步骤: 电路图可分解为:1.脉冲产生电路;2.计时电路;3.显示电路;4校时

电路;5整点报时电路。 1.脉冲电路是由一个555定时器构成的一秒脉冲,即频率为1HZ;电路图如下: 2.计时电路即是计数电路,通过计数器集成芯片如:74LS192 、74LS161、74LS163等完成对秒脉冲的计数,考虑到计数的进制,本设计采用的是74LS192。秒钟个位计到9进10时,秒钟个位回0,秒钟十位进1,秒钟计到59,进60时,秒钟回00,分钟进1;分钟个位计到9进10时,分钟个位回0,分钟十位进1,分钟计到59,进60时,分钟回00,时钟进1; 时钟个位记到9进10时,时钟个位回0,时钟十位进1,当时钟计数到23进24时,时钟回00.电路图如下: 3.显示电路是完成各个计数器的计数结果的显示,由显示译码器和数码管组成,译码器选用的是4511(七段显示译码器),LED数码管选用的是共阴极七段数码管,数码管要加限流电阻,本设计采用的是400欧姆的电阻。电路图如下: 4.校时电路通过RS触发器及与非门和与门对时和分进行校准,电路图如下: 5.整点报时电路即在时间出现整点的前几秒,数值时钟会自动提醒,本设计采用连续蜂鸣声; 根据要求,电路应在整点前10秒开始整点报时,也就是每个小时的59分50秒

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

60s计时器的设计与实现

电子系统设计创新实验 报告 题目60s计时器的设计与实现 学生姓名高权黄盼徐传武易孟华 学生学号016321232404 07 14 15 专业名称电子信息工程 指导教师肖永军 2016年11月17 日

设计要求: 1、利用单片机定时器/计数器T0中断设计秒表。 2、实现基本的0-60秒计时。 3、以数码管作为显示器件,用单片机进行控制。

摘要 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字秒表,用AT89C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件晶振电路,复位电路,数码管显示电路来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键字:AT89C51 单片机数码管

一、系统总体设计 系统总体设计框图如图1所示,该系统共由时钟电路模块、复位电路模块、AT89C51单片机及数码管显示电路组成。其中主控制器用于系统控制,可以控制电路的开关的功能,系统中AT89C51单片机作为主控元件,计数器显示电路由数码管和驱动电路组成。 图1 系统总体设计框图 二、系统硬件设计 (1)复位电路 采用上电+按键复位电路,上电后,由于电容充电,使RST持续一段高电平时间。当单片机已在运行之中时,按下复位键也能使用使RST 持续一段时间的高电平,从而实现上电加开关复位的操作。这不仅能使单片机复位,而且还能使单片机的外围芯片也同时复位。当程序出现错误时,可以随时使电路复位。 复位电路如图2所示:

单片机数字钟电路图

数字钟设计 一、设计目的 1. 熟悉集成电路的引脚安排。 2. 掌握各芯片的逻辑功能及使用方法。 3. 了解面包板结构及其接线方法。 4. 了解数字钟的组成及工作原理。 5. 熟悉数字钟的设计与制作。 二、设计要求 1.设计指标 时间以24小时为一个周期; 显示时、分、秒; 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

2.设计要求 画出电路原理图(或仿真电路图); 元器件及参数选择; 电路仿真与调试; PCB文件生成与打印输出。 3.制作要求自行装配和调试,并能发现问题和解决问题。 4.编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 三、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图3-1所示为数字钟的一般构成框图。 图3-1 数字钟的组成框图

⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。

时钟电路的工作原理

时钟电路的工作原理:DC3.5V电源经过二极管和L1(L1可以用0欧电阻代替)进入分频器后,分频器开始工作。,和晶体一起产生振荡,在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450-700之间。在它的两脚各有1V左右的电压,由分频器提供。晶体产生的频率总和是14。318M。 总频OSC在分频器出来后送到PCI的B16脚和ISA的B30脚,这两脚叫OSC测试脚。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC的线上还有电容,总频线的对地阻值在450-700欧之间。总频的时钟波形幅度一定要大于2V。 如果开机数码卡上的OSC灯不亮,先查晶体两的电压和波形。有电压有波形,在总频线路正常的情况下,为分频器坏;无电压

无波形,在分频器电源正常的情况下,为分频器坏;有电压无波形为晶体坏。 没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率。有了总频,南、北桥、内存、CPU、CACHE、I/O上不一定有频率。总频一旦正常,分频器开始分频,R2将分频器分过来的频率送到南桥,在面桥处理过后送到PCI的B39脚(PCICLK)和ISA的B20脚(SYSCLK),这两脚叫系统时钟测试脚。这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1。5V,这两脚的阻值在450-700欧之间,由南桥提供。 在主板上,RST和CLK都是由南桥处理的,在总频正常,如果RST和CLK都没有,在南桥电源正常的情况下,为南桥坏。主板

不开,RST不正常,是先查总频。 在数码卡上有OSC灯和RST灯,没有CLK灯的故障:先查R3输出的分频有没有,没有,在线路正常的情况下,分频器坏。 CLK的波形幅度不够:查R3输出的幅度够不够,不够,分频器坏。够,查南桥的电压够不够,够南桥坏;不够,查电源电路。 R1将分频器分过来的频率送给CPU的第六脚,这个脚为CPU时钟脚。CPU如果没有时钟,是绝对不会工作的,CPU的时钟有可能是由北桥提供。如果南桥上有CLK信号而CPU上没有,就可能是分频器或南桥坏。R4为I/O提供频率。 在主板上,时钟线比AD线要粗一些,并

数字时钟显示电路图

数字时钟显示电路图 发布: | 作者: | 来源: liuxianping | 查看:3663次 | 用户关注: 数字时钟以时、分、秒显示时刻,共用六个数码管,本例采用共阳极数码管,用三极管控制电源的通断。工作原理:6个数码管的字型段输入端(a、b、c、d、e、f,g)全部并接到译码器相应的输出端。电源控制开关管分别接到3~6译码器的六个输出端。时钟六个计数器输出端均采用四位,分别为xl【、xt£、 m x?X2n x2z、x2h x2‘,?,x 、x x 、x 相应的每一位都接到4个6选1的选择器上,选择器输出共4位接到 数字时钟以时、分、秒显示时刻,共用六个数码管,本例采用共阳极数码管,用三极管控制电源的通断。 工作原理:6个数码管的字型段输入端(a、b、c、d、e、f,g)全部并接到译码器相应的输出端。 电源控制开关管分别接到3~6译码器的六个输出端。时钟六个计数器输出端均采用四位,分 别为xl【、xt£、 m x? X2n x2z、x2h x2‘,?,x 、x x 、x 相应的每一位都接到 4个6选1的选择器上,选择器输出共4位接到译码器的输入端(y 、y 、y 、Y )上。数码管及与之对应要显示的计数器,由Q]、、的编码(BCD码)进行循环选择例如,当Q 、 1

、均为?0 时,则3~6译码器的输出端1为高电平,第一个数码管加上电源,与此同 时,六选一选择器对应的输出分别为Y y— y Xs—x X —x 。这时译码器的输 出a,b,??,g虽然接到所有数码管上,但由于只有第一个数码管加上电源,故只有该管点 亮,显示第一个计数器的状态(x 、x 。、xX )。同理,当Q 、Q Q 为001”时,第二 个数码管点亮,显示第二个计数器的状态。依此类推,到第六个数码管断电后,接着第一个又开始点亮。如此循环显示,循环周期为6ms,给人的感觉,就相当所有数码管都一直在同时 加电,实际上每次只有一个,消耗的功率只有静态显示的六分之一。由于数码管电流很大,一 般小型管各段全亮时,大约要150mA~200mA 采用静电显示,此例中就要大于1A的 电流。这对长期工作的时钟很不经济,对于大型数码管会更加严重。此外,采用动态显示,数 码管的寿命与静态相比也相应延长Ⅳ 倍(本例为6倍)。

时钟电路设计

时钟电路 时钟电路用于产生MCS-51单片机工作时所必须的时钟控制信号,MCS-51单片机的内部电路在时钟信号的控制下,严格的执行指令进行工作,在执行指令时,CPU 首先要到程序存储器中取出所需要的指令操作码,然后译码,并由时序电路产生一系列控制信号去完成指令所规定的操作。CPU 发出的时序信号有两类,一类用于片内对各个功能部件的控制,另一类用于对片外存储器或I/O 端口的控制。 MCS-51单片机各功能部件的运行都是以时钟信号为基准,有条不紊地一拍一拍地工作,因此时钟频率直接影响单片的速度,时钟电路的质量也直接影响单片机系统的稳定性。常用的时钟设计电路有两种方式,一种是内部时钟方式,一种是外部时钟方式。 3.4.1 外部时钟方式 外部时钟方式是使用外部振荡器产生的脉冲信号,常用于多片单片机同时工作,以便于多片单片机之间的同步,一般为低于12 MHz 的方波,常见的89C51单片机的外部时钟方式接法如下:外部的时钟源直接连接到XTAL1端,XTAL2端 悬空 NC 外部振荡信号输入 3.4.2内部时钟方式 MCS-51单片机内部由一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为51单片机的引脚XTAL1,输出为XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成了一个稳定的自激振荡器。电路如下图10所示。 XTAL2 XTAL1 GND

图10 内部时钟电路 电路中的电容C1和C2的典型值通常取为30pF左右,对外接电容的值虽然没有严格的要求,但是电容的大小会影响石英晶体振荡器频率的高低,振荡器的稳定性和起振的快速性。晶振的振荡器的频率范围通常是在1.2 MHz-12 MHz之间,晶振的频率越高,则系统的时钟频率也就越高,单片机的运行速度也就越快,晶振和电容应该尽可能安装得与单片机芯片靠近,以减少寄生电容,更好地保证振荡器稳定,可靠地工作,为了提高温度稳定性,应该采用温度稳定性能好的电容。 MCS-51单片机常选择振荡器的频率为6 MHz或是12 MHz的石英晶体。随着集成电路制造工艺的发展,单片机的时钟频率也在逐步提高,现在某些高速单片机芯片的时钟频率以达40 MHz。MCS-51内部时钟电路的内部时钟方式的振荡器

台式机时钟电路的工作原理浅析

台式机时钟电路的工作原理浅析: DC3。5V电源给过二极管和L1(L1可以用0欧电阻代替)进入分频器后,分频器开始工作。,和晶体一起产生振荡,在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450-700之间。在它的两脚各有1V左右的电压,由分频器提供。晶体产生的频率总和是14。318M。总频OSC在分频器出来后送到PCI的B16脚和ISA的B30脚,这两脚叫OSC测试脚。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC的线上还有电容,总频线的对地阻值在450-700欧之间。总频的时钟波形幅度一定要大于2V。如果开机数码卡上的OSC灯不亮,先查晶体两的电压和波形。有电压有波形,在总频线路正常的情况下,为分频器坏;无电压无波形,在分频器电源正常的情况下,为分频器坏;有电压无波形为晶体坏。没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率。有了总频,南、北桥、内存、CPU、CACHE、I/O上不一定有频率。总频一旦正常,分频器开始分频,R2将分频器分过来的频率送到南桥,在面桥处理过后送到PCI的B39脚(PCICLK)和ISA的B20脚(SYSCLK),这两脚叫系统时钟测试脚。这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1。5V,这两脚的阻值在450-700欧之间,由南桥提供。在主板上,RST和CLK都是由南桥处理的,在总频正常,如果RST和CLK都没有,在南桥电源正常的情况下,为南桥坏。 主板不开,RST不正常,是先查总频。在数码卡上有OSC灯和RST灯,没有CLK灯的故障:先查R3输出的分频有没有,没有,在线路正常的情况下,分频器坏。CLK的波形幅度不够:查R3输出的幅度够不够,不够,分频器坏。够,查南桥的电压够不够,够南桥坏;不够,查电源电路。R1将分频器分过来的频率送给CPU的第六脚(在CPU上RST脚旁边,见图纸),这个脚为CPU 时钟脚。CPU如果没有时钟,是绝对不会工作的,CPU的时钟有可能是由北桥提供。如果南桥上有CLK信号而CPU上没有,就可能是分频器或南桥坏。R4为I/O提供频率。 在主板上,时钟线比AD线要粗一些,并带有弯曲。频率发生偏移,是晶体电容所导致的,它的现象是,刚一开机就会死机,运行98出错。分频器本身坏了,会导致频率上不上去。和晶体无关。CPU 的两边为控制处(位置见图),控制南桥和分频器,当频率发生偏移,会自动调整。 说明:此文选自江湖郎中主板维修,看后大有受益,推荐文友浏览。绝无剽窃之意。

时钟电路设计0

O 时钟电路o 时钟电路用于产生MCS-51单片机工作时所必须的时钟控制信号,MCS-51单片机的内部电路在时钟信号的控制下,严格的执行指令进行工作,在执行指令时,CPU 首先要到程序存储器中取出所需要的指令操作码,然后译码,并由时序电路产生一系列控制信号去完成指令所规定的操作。CPU 发出的时序信号有两类,一类用于片内对各个功能部件的控制,另一类用于对片外存储器或I/O 端口的控制。 MCS-51单片机各功能部件的运行都是以时钟信号为基准,有条不紊地一拍一拍地工作,因此时钟频率直接影响单片的速度,时钟电路的质量也直接影响单片机系统的稳定性。常用的时钟设计电路有两种方式,一种是内部时钟方式,一种是外部时钟方式。 3.4.1 外部时钟方式 外部时钟方式是使用外部振荡器产生的脉冲信号,常用于多片单片机同时工作,以便于多片单片机之间的同步,一般为低于12 MHz 的方波,常见的89C51单片机的外部时钟方式接法如下:外部的时钟源直接连接到XTAL1端,XTAL2端 悬空 NC 外部振荡信号输入 3.4.2内部时钟方式 MCS-51单片机内部由一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为51单片机的引脚XTAL1,输出为XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成了一个稳定的自激振荡器。电路如下图10所示。 XTAL2 XTAL1 GND

图10 内部时钟电路 电路中的电容C1和C2的典型值通常取为30pF左右,对外接电容的值虽然没有严格的要求,但是电容的大小会影响石英晶体振荡器频率的高低,振荡器的稳定性和起振的快速性。晶振的振荡器的频率范围通常是在1.2 MHz-12 MHz之间,晶振的频率越高,则系统的时钟频率也就越高,单片机的运行速度也就越快,晶振和电容应该尽可能安装得与单片机芯片靠近,以减少寄生电容,更好地保证振荡器稳定,可靠地工作,为了提高温度稳定性,应该采用温度稳定性能好的电容。 MCS-51单片机常选择振荡器的频率为6 MHz或是12 MHz的石英晶体。随着集成电路制造工艺的发展,单片机的时钟频率也在逐步提高,现在某些高速单片机芯片的时钟频率以达40 MHz。MCS-51内部时钟电路的内部时钟方式的振荡器

主板时钟电路工作原理

主板时钟电路工作原理 时钟电路工作原理: DC3.5V电源经过二极管和L1(L1可以用0Ω电阻代替)进入分频器后,分频器开始工作,和晶体一起产生振荡。在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450-700Ω之间。在它的两脚各有1V左右的电压,由分频器提供。晶体两脚产生的频率总和是14.318M。 总频OSC在分频器出来后送到PCI槽的B16脚和ISA槽的B30脚(这两个脚叫OSC 测试脚)。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC的线上还有电容,总频线的对地电阻在450-700Ω之间。总频的时钟波形幅度一定要大于2V。 如果开机数码卡上的OSC灯不亮,先查晶体两脚的电压和波形。有电压有波形,在总频线路正常的情况下,为分频器坏。若无电压无波形,在分频器电源正常的情况下,为分频器坏;有电压无波形,为晶体坏。 没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率,有了总频,南、北桥、内存、CPU、CACHE、I/O上不一定有频率。总频一旦正常,可以说明晶体和分频器基本正常,主要是晶体的振荡电路已经完全正常,反之就不正常。 当分频产生后,分频器开始分频,R2经分频器过来的频率送到南桥,在南桥处理过后送到PCI槽的B39脚(PCICLK)和ISA槽的B20脚(SYSCLK),这两脚叫系统时钟测试脚。这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1.5V。 在主板上,RST和CLK都是由南桥处理的。若总频正常,如果RST和CLK都没有,在南桥电源正常的情况下,为南桥坏。 主板不开机,RST灯不正常,要先查总频。如果在数码卡上有OSC灯和RST灯,没有CLK灯的话,先查R3输出的分频有没有。若没有,在线路正常的情况下,一般是分频器坏。如果CLK的波形幅度不够,那得先查R3输出的幅度够不够。若不够,一般为分频器坏。若够,查南桥的电压够不够。若够,南桥坏;不够,查电源电路。 R1将分频器分过来的频率送给CPU的第6脚(在CPU上RST较旁边,见图纸),这

时钟电路图

at89c51电子时钟电路图和程序 【字体:】

源程序: 3.系统板上硬件连线 (1)把“单片机系统”区域中的P1.0-P1.7端口用8芯排线连接到“动态数码显示”区域中的A-H端口上; (2)把“单片机系统:区域中的P3.0-P3.7端口用8芯排线连接到“动态数码显示”区域中的S1-S8端口上; (3)把“单片机系统”区域中的P0.0/AD0、P0.1/AD1、P0.2/AD2端口分别用导线连接到“独立式键盘”区域中的SP3、SP2、SP1端口上;

4. 汇编源程序 SECOND EQU 30H MINITE EQU 31H HOUR EQU 32H HOURK BIT P0.0 MINITEK BIT P0.1 SECONDK BIT P0.2 DISPBUF EQU 40H DISPBIT EQU 48H T2SCNTA EQU 49H T2SCNTB EQU 4AH TEMP EQU 4BH ORG 00H LJMP START ORG 0BH LJMP INT_T0 START: MOV SECOND,#00H MOV MINITE,#00H MOV HOUR,#12 MOV DISPBIT,#00H MOV T2SCNTA,#00H MOV T2SCNTB,#00H MOV TEMP,#0FEH LCALL DISP MOV TMOD,#01H MOV TH0,#(65536-2000) / 256 MOV TL0,#(65536-2000) MOD 256 SETB TR0

SETB ET0 SETB EA WT: JB SECONDK,NK1 LCALL DELY10MS JB SECONDK,NK1 INC SECOND MOV A,SECOND CJNE A,#60,NS60 MOV SECOND,#00H NS60: LCALL DISP JNB SECONDK,$ NK1: JB MINITEK,NK2 LCALL DELY10MS JB MINITEK,NK2 INC MINITE MOV A,MINITE CJNE A,#60,NM60 MOV MINITE,#00H NM60: LCALL DISP JNB MINITEK,$ NK2: JB HOURK,NK3 LCALL DELY10MS JB HOURK,NK3 INC HOUR MOV A,HOUR CJNE A,#24,NH24 MOV HOUR,#00H NH24: LCALL DISP JNB HOURK,$

相关文档
最新文档