简易洗衣机控制电路

简易洗衣机控制电路
简易洗衣机控制电路

课程设计任务书

学生姓名: 瞿子敬 专业班级: 通信1104 指导教师: 刘可文 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:双向计数器74LS192,七段共阴数码管,译码器74LS48,555定时器,发

光二极管,电阻若干,门电路若干,开关若干。

本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。

要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)

1、课程设计工作量:1周。

2、技术要求:

1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作:

2)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。

4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。

5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。

3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。

时间安排:

1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。

2、 2013 年 6 月 20 日至 2013 年 6 月 25 日,方案选择和电路设计。

3、 2013 年 6 月 29 日至 2013 年 7 月 4 日,电路调试和设计说明书撰写。

4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。

指导教师签名: 2013 年 7 月 5 日 定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒

定时未到

定时到

停机

目录

摘要....................................................................... I Abstact .................................................................... II

1 概述 (1)

2 简易洗衣机控制器设计方案及原理框图 (2)

2.1 设计方案 (2)

2.2方案比较与选择 (3)

3 单元电路设计及相关参数 (4)

3.1 计时电路 (4)

3.2 预置数电路与复位键 (4)

3.3 时钟脉冲电路 (5)

3.4 运行状态显示电路 (7)

4 电路的仿真 (8)

4.1 时钟脉冲电路 (8)

4.2 定时器 (8)

4.3 电机工作指示灯 (9)

5 总电路 (12)

5.1总图说明 (12)

6 设计感悟 (13)

7 参考文献 (15)

附录一 (16)

附录二 (17)

附录三 (20)

摘要

本设计应用于洗衣机的自动控制,使用数字电子技术相关知识,设计出相应电路,并采用multisim仿真软件运行简易洗衣机控制器的工作过程。从课程设计的要求来看,要求电机正转二十秒,暂停十秒,反转二十秒,暂停十秒,总过程六十秒,则应当采用分钟计数器与秒计数器。显然脉冲信号应选择秒脉冲,可选用555定时器接作多谐振荡器来产生。要求电路有清零与置数功能,可以利用电平开关来实现。最后用译码管与数码管显示器来显示时间,用发光二极管来表示电机工作状态,实现自动循环控制。

关键词:自动控制 555定时器正转反转暂停

Abstact

This design applied to the automatic control of the washing machine, the use of the knowledge of the digital electronic technology, designed the corresponding electric circuit, and use the multisim simulation software to run the process of the washing machine controller. From the perspective of the requirements of curriculum design for motor forward for 20 seconds, ten seconds pause, inversion of 20 seconds, ten seconds, the total process of 60 seconds, so I should adopt minute counter with the SEC. Obviously the pulse signal should choose second pulse, so we choose a multivibrator to generate 555 timer. It requires reset circuit and load function,and the level switch can be utilized to implement. Finally, by decoding tube and digital tube display it can shows time, using leds to indicate the motor working condition, realize the automatic cycle control.

Keywords:automatic control 555 timers forward inversion pause

1 概述

本电路是以计时器为主体,配合门电路构成的控制器。通过对计时器时间的采集,转化成相应的控制信号来控制电机的工作方式(本设计中以LED来体现)。当用户通过电平开关置入一个数时,接通电源及脉冲开关,计时器开始以秒为频率倒计时,即洗衣机开始以设计要求的方式工作,途中可断开脉冲来实现人工暂停(即洗衣机开盖自动暂停)。当后一位倒数到0时,输出一借位信号到前一位,使前一位减一,倒计时完成以后,通过计数器输出的低电平,配合门电路,可自动断开时钟脉冲,洗衣机停止工作,同时表示停止的LED亮,蜂鸣器报警。配合使用复位键和开始可重新置数,调整洗衣时间,让洗衣机再次工作。时钟脉冲由555定时器制成多谐振荡器提供,调整相应参数,使输出为1s/5v,为计时器提供信号源。

2 简易洗衣机控制器设计方案及原理框图

2.1 设计方案

方案一:

设计一个电子定时器,用以控制电机的运转。洗衣机工作顺序:启动——>正转20s——>暂停10s——>反转20s——>暂停10s——>检查时间——>继续工作或停止工作。

原理框图:

图2.1 方案一

方案二:

利用单片微型计算机STC58C52可编程控制的特点,控制输出端口输出与时间的关系,控制电机的运转。使洗衣机可在预设模式下进行十进制的时间预置,通过数码管及LED显示工作状态,完成要求的功能。

原理框图:

图2.2 方案二

2.2方案比较与选择

方案一采用数字电路,为单线程控制,思路较明确,容易实现,但使用芯片较多,接线复杂。

方案二采用单片机控制,是以单片机为核心,包涵外围工作部件,接线简单,整体简单。

比较两个方案不难发现,方案二对于熟悉单片机的人来说是一个较好的选择,但其价格较贵,而且编程十分复杂,不适合新接触单片机的人。考虑到实现的难易度以及自身知识的掌握,还有价格因素,故而我选择方案一作为设计方案。

3 单元电路设计及相关参数

3.1 计时电路

为满足倒计时的需求,采用双向计时芯片74LS192。

74LS192工作原理:芯片有两个脉冲输入端,当UP端接脉冲时,计数器为正计数;当DOWN端接脉冲输入时,计数器为倒计数。A,B,C,D为置数端,当CLR为高电平,LOAD为低电平时,输出QAQBQCQD为ABCD,BO为借位端,CO为进位端。

将每一级的BO接下一级脉冲,CLR接复位按钮,ABCD分别接相应的预置电平,秒位DOWN接时钟脉冲,这样,当低位倒数至0时,借位端发出信号,低位自身进入下一个60进制循环,高位减一,完成借位功能,通过对分钟的高位置数,可预定洗衣机工作时间。

图3.1 时序电路

3.2 预置数电路与复位键

预置数电路:

原本思路为使用一片74LS290加法计数器,完成按一下时间加十分钟的功能,但考虑到经济因素,本次设计中使用四个单刀双掷开关,分别接到高电平与低电平,完成二进制的时间预置。

复位键:

由74LS192功能表可知,当CLR端为高电平时,所有输出强制清零,故在这里使用按键开关,一端接高低电平,一端接所有的CLR,当按下去时,CLR输入高电平,所有计数器清零,完成复位功能。

图3.3 复位键

图3.2 置数开关

3.3 时钟脉冲电路

由于计数器必须有秒脉冲源才能实现计时功能,所以这里需要一个频率为1s的方波脉冲为低位片提供时钟信号。

利用555计时器接作多谐振荡器,当电源接通后,VCC通过对R1、R2向电容充电。电容上得到电压按指数规律上升,当电容上的电压上身到2/3VCC时,输电压VO为零,电容放电。当电压下降到1/3VCC时,输出电平为高电平,电容放电结束。这样周而复始便形成了振荡。电路如图3.4。

武汉理工大学《数字电子技术》课程设计说明书

图3.4 时钟脉冲电路

参数计算: ()s 1C *R R 2*2ln T 21=+=

占空比=R1/(R1+R2)=77%

3.4 运行状态显示电路

洗衣机涡轮电机有三个工作状态:正转、暂停、反转,在本设计中,用三个LED来表示三种状态的运行情况。

通过译码器74LS138和三个与门,将从计时器采集来的时间信号进行译码,转化为控制电机运行状态的开关,并用LED指示。

图3.5 工作状态控制与指示1

图3.6 工作状态控制与指示2

4 电路的仿真

4.1 时钟脉冲电路

图4.1 时钟脉冲

从图中可以看出,时间轴每格1s,纵轴每格5V,则振荡器输出的方波周期为1s,占空比与计算值77%相符。

4.2 定时器

若定时器预设的为空,则时间为10分钟,若预设的为5则时间为60分钟,计时方式为倒计时。

图4.2 定时器工作

仿真时现象为分秒定时器从9分60秒开始,倒计时,倒计时到0则停止。

4.3 电机工作指示灯

状态A:电机在40s到60s之间时正转,橘红色指示灯亮。

图4.3 正转

状态B:电机在30s到40s之间和0s到10s之间暂停,绿色指示灯亮。

图4.4 暂停

状态C:电机在10s到30s之间反转,蓝色指示灯亮。

图4.5 反转

状态D:当定时器时间计时结束,电机停止工作,同时代表洗衣完成的红色指示灯亮,若有需求,可加一蜂鸣器报警。

图4.6 停止

5 总电路

5.1总图说明

总电路图由各单元电路综合连接得到。此电路可基本实现洗涤状态、洗涤剩余时间的显示,洗涤时间的预置,强制停机,启动可控等功能。

通过开关J1到J4,对计时器进行预置数,即进行定时。

用开关J5进行定时清零,开关J6进行手动暂停功能。

秒计时和分计时之间的74192芯片构成60进制计数器,由于是定时操作,作减法计数。

将计时的分钟十位通过3-8译码器74LS138输出,以指示灯表示信号,使洗衣机工作时满足60到40秒时正转,橘红色灯亮;39到30秒时暂停,绿灯亮;29到10秒时反转,蓝灯亮;9到0秒时暂停,绿灯亮。在这里的设计举例说明,例如29到10秒时蓝灯亮,此时分钟为1或2,所以此时的译码输出为Y1或Y2,致使蓝灯亮。

5.2 总图电路

见附录1。

6 设计感悟

这次我的选题为洗衣机自动控制器,最初拿到题目的时候,是有些迷茫的,洗衣机在我眼中科技含量感觉还是挺高的,所以一开始感觉要我来设计出洗衣机控制是不是有点难了。而在仔细地看完设计要求后,这种紧张也随之不见了,脑袋里开始构想大体的思路,又觉得这个课题过于简单——不就是一个定时器吗,有过实验课上制作电子钟的经验,这不是手到擒来?

而在一周的设计与实物焊接过程中,我才真正感受到,再简单的过程,要实现它,也不是那么容易的。我是从减法计数器开始构思的,通过仿真软件画出电路图的过程看似简单,但是却耗费了我最大的一块时间,因为定时器是这个设计的核心,要引出的线路太多,所以需要考虑很多东西,稍有不慎就会使整个电路的功能有误。在完成定时器的构建之后,接下来的设计就显得顺利多了,一个一个模块的设计都顺理成章的仿真成功。当我把所有模块接在一起构成本次设计的总图的时候,意外出现了,软件提示我仿真有错误,这下不好办了,错误到底在哪呢?找了半天没找到,最后重新连,连一个模块,做一次测试,这样,终于找到出错的地方。做完仿真,我就着手于实物制作了,实物制作过程是很顺利的,因为在之前有过焊单片机与模电课设制作的经验,所以我这次没有像往常一样把所有电路焊在一块板子上,而是分为了脉冲电路、显示模块和控制主板三个模块,这样,不仅外型上显得美观,而且在以后的电子制作当中,有些通用的模块例如555脉冲发生器和数码管显示模块是可以直接套用的,而不必再次花费时间金钱制作,最重要的一点就是是可以分别进行调试,从而知道如果出错,那么错误到底在哪个部分,以便纠正。

实物制作完成后,我就迫不及待进行了总体测试,但结果不如人意,脉冲发生器和数码管显示器是没有问题的,但是控制电路无法实现功能,迫于时间的紧张,无法修正,最后只能实现定时器倒计时和置数复位功能,而指示灯无法亮起,非常遗憾。

通过这次课程设计,通过这次课程设计,我学习到了很多东西,对于数电所学的知识进行了复习。本次课设中对简易洗衣机控制器的设计,让我对于数字电路和数字电路的工作状态有了切实的了解,比起平时做题,亲自动手进行实验测试仿真要更加令人印象深刻。由于这次是单独做一个课题,相比小组合作,需要投入的时间和精力要更多,这时更需要有自己的思考否则是不能解决遇到的问题的,对于这个简易洗衣机控制器,我也不敢说完

全的弄明白了,还是有些不懂的地方,但是因为大部分都是自己动手去做的,所以即使如此,还是有了不少的收获,而且在仿真的时候不仅仅只用了multisim这一个软件,还用到了EWB,protus等,对这些软件的使用也熟悉了,总体来说,本次的数字电子技术课程设计使我对数电的理论和实际了解有所增加,增强了对问题的分析能力动手能力。

7 参考文献

[1] 阎石. 数字电子技术基础(第5版). 高等教育出版社,2009.12

[2] 杨素行.模拟电子技术基础简明教程(第3版).高等教育出版社,2005.10

[3] 彭介华 .电子技术课程设计指导(第1版).高等教育出版社.2008.12

[4] 谢自美.电子线路设计、实验、测试(第3版).华中科技大学出版社.2006.08

[5] 张霰雯. 555时基电路的特点及应用. 内蒙古科技与经济,2001

[6] 赵广林. 常用电子元器件识别/检测/选用一读通.电子工业出版社,2007.

[7] 洪志良. 模拟集成电路分析与设计. 北京: 科学出版社,2004.

[8] State Transition Analyzing of Flip-flop byMultisim Software REN Jun-yuan

[9] Zhang Shui-ying Practice And Application of Multisim in digital Electronic experiment teaching Computer Science & Education August 3-5, 2011.

附录一

图1-1

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

全自动洗衣机控制器

实验四全自动洗衣机控制器 一、实验目的 1.学习掌握全自动洗衣机的控制原理。 2.掌握基于有限状态机的控制电路设计方法。 二、预习要求 1.预习全自动洗衣机的控制原理和基于有限状态机的控制电路的设计方法。 2.画出洗衣机控制器包括不同洗衣模式的完整的状态转移图。 3.用Verilog HDL语言编程实现全自动洗衣机控制器,并进行时序仿真。 4.对顶层设计文件进行引脚锁定。 三、实验要求 1.设计一个全自动洗衣机控制器电路,实现对洗衣机的全自动控制。 根据全自动洗衣机的控制原理设计一个控制电路,使之能够控制全自动洗衣机完成整个工作过程。洗衣机工作过程分为两种情况: (1)全部自动完成 当按下复位按钮时,洗衣机上电,控制电路复位到初始状态(默认水位为“中”);使用者可根据衣服的多少,按下水位控制按钮,改变水位设置,以控制上水时加水的多少;当按下启动/暂停按钮时,洗衣机开始洗衣的第一个操作:进水阀门打开,开始上水,并根据水位设置(高、中、低、少)历时不同的时间timeadd(8s、7s、6s、5s);然后进水阀门关闭,电机开始运转,开始洗衣过程,并历时9s;然后电机停止运转,排水阀门打开,开始排水,并根据水位设置(高、中、低、少)历时不同的时间timedrain(7s、6s、5s、4s);然后排水阀门关闭,进水阀门打开,开始第二次上水,并历时timeadd……当甩干结束后,整个洗衣过程完成,扬声器发出持续15秒的急促的“嘀嘀”音,提示用户洗衣结束。正常运行状态下全自动洗衣机工作过程如图1. 1所示。 注意:在甩干过程中,电机一边高速旋转,一边排水。 图1. 1 正常运行状态下默认水位为“中”时全自动洗衣机工作过程从图中可以看出,洗衣机整个工作过程可分为9个状态,要求运用有限状态机的设计思想来实现。 (2)人工干预 在每个工作状态下,如果想要洗衣机暂停工作,可按下启动/暂停按钮,则洗衣机立刻暂停当时的操作。比如,在第一次加水过程中,若按下启动/暂停按钮,则进水阀门立刻关闭,暂停上水,计时暂停;当再次按下启动/暂停按钮,则进水阀门又打开,并继续计时,直到加水满timeadd后,进入洗衣过程。 洗衣机功能设置:

洗衣机的自动控制原理

电气信息学院 课程设计报告 课程设计名称:电子技术课程设计题目:洗衣机的自动控制原理学院:电气信息学院 专业:电气工程与自动化 年级:2008级 学生:范宇 学号:312008********* 指导教师:邱晓初 完成日期:2010年7月16日

洗衣机的自动控制原理 摘要:从课程设计要求来看,要求实现电机的正转、反转、暂停,这些需要一个555多谐振荡器和多个的计数器来实现,从而完成洗衣机的漂洗。同时计数器之间的相互影响,清零、置数,通过对驱动电机的控制来实现脱水。同时为实现自动洗衣机的单独漂洗和单独脱水,采用个各种的门电机和开关。这样,可以人工手动控制计数器来实现对时间的设置,实现工作与停止之间的转换,从而对洗衣机的工作状态的控制。本次课程设计用个proteus 对其电路进行了仿真。同时主要要求对洗衣机自动控制原理的设计、仿真、各一些初步的调试。 关键词:洗衣机自动控制计数器触发器多谐振荡器 Abstract:Curriculum design point of view, required to achieve the motor forward and backward, pause, they need a more than 555 over the counter harmonic oscillator and to achieve, thus completing the washing machine's rinse. At the same time the interaction between the counter, clear, set the number of motor control by the drive to achieve dehydration. Automatic washing machine while a separate rinsing and dehydration alone, using a variety of door motor and switch. This can be achieved by manual control on the time counter set to achieve the conversion between work and stop to work on the washing machine state control. The curriculum design of its circuit with a proteus simulation. Automatic washing machine while the main requirements of the principle of design, simulation, the number of initial debugging. Keywords:washing machine, automatic control, counter, Trigger, Multi-vibrator

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

基于PLC全自动洗衣机控制电路设计

目录 一、设计课题: 全自动洗衣机控制电路设计。 二、课题内容: 全自动洗衣机运行框图及梯形图控制程序的编制,并进行硬件接线。 三、设计目的: 1.进一步掌握和巩固PLC控制的基本知识; 2.掌握PLC程序的设计及调试方法; 3. 熟练掌握PLC的硬件接线; 3.学会查阅有关专业资料及设计手册; 四、程序设计任务及要求 1.控制要求 (1)按下启动按钮及水位选择开关,开始进水直到高(中、低)水位,关水;(2)2秒后开始洗涤; (3)洗涤时,正转30秒,停2秒,然后反转30秒,停2秒; (4)如此循环5次,总共320秒后开始排水,排空后脱水30秒; (5)开始清洗,重复(1)-(4),清洗两遍; (6)清洗完成,报警3秒并自动停机;

(7)若按下停车按钮,可手动排水(不脱水)和手动脱水(不计数)。五、PLC机型 日本三凌公司的F系列PLC:FX1N系列。 六、控制全自动洗衣机的课题思路 按下电源开关,选择水位高低,当水位到达固定液位后洗衣机开始洗涤衣服。要使用P LC来实现洗衣机的全自动,它的输入设备主要有电源按钮,启动按钮,水位选择按钮(高、中、低),排水和脱水按钮等。输出设备主要有电源指示灯,水位选择按钮信号灯(高、中、低),进水、排水指示灯,洗涤电动机由控制要求,首先打开电源,用户根据衣服的多少和大小进行水位的选择,当水位达到固定液位,电动机开始正转、反转进行洗衣,第一遍洗衣 完成后自动排水、脱水开始再次洗涤,洗衣结束后蜂鸣器报警。七、全自动洗衣机控制程序流程图 全自动洗衣机控制流程图 八、全自动洗衣机I/O分配图

I/O地址分配表 九、PLC端子接线图 PLC外部接线图 十、全自动洗衣机控制梯形图 十一、程序运行功能简要分析 1.按下X1启动按钮,洗衣机开始运行; 2.如果按下X1,X2,X3其中下一个进水感应开关,选择高中低水位由行程开关X7、X10、X11控制水位高低,当水位到达开关快关闭合进入下一步骤。

简易洗衣机控制器的设计与制作教学文案

题目:简易洗衣机控制器的设计与制作 专业班级:班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:1. 定时到 洗衣机电机的工作顺序:

启动——>正转20s——>暂行10s——>反转20s——>暂行10s——>停止 ^ I______________________________________I 定时未到 2.用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二.设计要求: 1.设计思路清晰,给出整体设计框图; 2.设计各单元电路,给出具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写出设计报告;

主要设计条件 1. 提供直流稳压电源、信号源、示波器等仪器; 2. 提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3. 提供电子综合实验装置。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一~星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉 星期三~星期五单元电路设计和仿真、调试电路; 第二周: 星期一~星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

全自动洗衣机控制系统设计开题报告精选文档

全自动洗衣机控制系统 设计开题报告精选文档
TTMS system office room 【TTMS16H-TTMS2A-TTMS8Q8TTMSHHJ8】

重庆科技学院
毕业设计(论文)开题报告
题目 全自动洗衣机控制系统设计
学 院 电气信息工程学院
专业班级 自升本 2011-1
学生姓名 黄浩然 学号
指导教师
张跃辉
年月日
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。 此报告应在指导教师指导下,由学生在毕业设计(论文)工作开始后 2 周内完成,经指导 教师签署意见及系主任审查后生效。
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计的电子文档标准格式 (可从教务处网址上下载)打印,禁止打印在其它纸上后剪贴,完成后应及时交给指导教 师签署意见。
3.学生查阅资料的参考文献理工类不得少于 10 篇,其它不少于 12 篇(不包括辞典、 手册)。
4.“本课题的目的及意义,国内外研究现状分析”至少 2000 字,其余内容至少 1000 字。

毕业设计(论文)开题报告
1.本课题的目的及意义,国内外研究现状分析
1)本课题目的及意义
随着科技的迅速发展,人民生活水平的不断提高,洗衣机的发展也变得十分 迅速。人们对洗衣机提出了更高的要求,性能更好,操作更简单,更节能,智能 化的实现等。所以现代的洗衣机控制从以前的机械式,继电器式,渐渐的向电气 电子式发展。
以前的洗衣机都存在一些问题,比如:对衣物的磨损和伤害大,噪声大,耗 电量大,耗水量大,洗净度较低,操作复杂和稳定性差等问题。为了改善传统洗 衣机的性能,本次课题将解决这些问题,来提高洗衣机的洗净率、降低磨损率、 噪声,做到节能环保,使操作更加简单和稳定性更好。
目前市场上大多数洗衣机都是采用单片机作为控制器,因为单片机成本低, 体积小巧、功耗低,操作方便;但是单片机对环境的适应能力较低,可靠性差, 编写程序相对复杂,且硬件的复杂性高,增大了维修的难度和成本费用。
本次课题采用 PLC 作为洗衣机控制器,PLC 工作的环境要求低,可靠性高, 抗干扰能力强,编程简单,容易受计算机控制;PLC 是整体模块,集中了驱动电 路、检测电路和保护电路及通讯连网功能,使硬件相对简单可靠,维护起来更加 的方便。但是 PLC 的成本相对较高,只适合在工业中运用而不适合民用。
本次设计采用 S7-200PLC 作为洗衣机控制器,通过传感器(水位传感器,浊 度传感器)对水位,洗衣浊度进行监测,编写 PLC 程序对电动机转向、洗衣机电 磁阀门的开度和开关进行控制,并且能够实现自动调节时间,选择洗涤方式,控 制水位等。
本课题主要着重于对全自动洗衣机的控制,要求洗衣机能实现进水、洗涤、 排水、脱水、自动停止的循环过程。让洗衣机工作更加稳定,操作简单可靠,提 高衣服洗净度,让洗衣机更加智能节能化。

洗衣机洗涤控制电路设计

洗衣机洗涤控制电路设计 姓名: 学号: 专业:集成电路工程 2010年11月17日

洗衣机洗涤控制电路设计 一、洗衣机洗涤控制电路的性能要求 1.强洗、标准、轻柔三种洗涤模式 强洗周期水流控制:正向电机接通5秒后,停2秒;再反向电机接通5秒,停2秒;然后又正向电机接通5秒。如此循环控制电机,直到洗涤定时结束。 标准洗周期水流控制:其过程与强洗周期水流控制相同,不同的是正向接通时间为3.5秒,停止时间为1.5秒,反向接通时间为3.5秒。 轻柔洗周期水流控制:正向接通时间为2.5秒,停止时间为1.5秒,反向接通时间为2.5秒。 2.三种洗涤定时 洗衣机洗涤定时可有三种选择:5分钟、10分钟、15分钟。 3.上电复位后的初始设定 初始设定为标准模式,定时时间为15分钟。如需修改可按模式选择按键和定时选择按键。每按一次按键转换一次,可多次进行循环选择。当某一次洗涤过程结束后,自动返回初始状态,等待下一次洗涤过程开始。 4.启/停控制 洗涤过程由启/停键控制。每按一次启/停键,状态转换一次。 5.洗涤定时精度 洗涤定时误差要求不大于0.1秒。 为简化设计洗衣机洗涤控制电路,只要求输出正向和反向的电机控制信号。 二、洗衣机洗涤控制电路的结构 根据上述对洗衣机洗涤控制电路的性能要求,可以画出如图1所示的结构框图。 该控制器由四大部分组成:主分频器、主控制器、洗涤定时器和水流控制器。

图1 洗衣机洗涤控制电路的结构框图 1.主分频器 主分频器用来产生1秒和0.1秒的时钟供主控制器使用。因DE2开发板上只有27MHz和50MHz时钟,故本设计为简单起见采用开发板自带的50MHz晶振,50000000分频后得到1HZ的时钟和5000000分频后得到10Hz的时钟。 图2 主分频器的结构 2.主控制器 主控制器的输入信号和输出信号如图1所示,分别叙述如下。 (1) 输入信号: reset:上电复位; start_stop:启/停按键输入; mode_sel:洗涤模式选择按键输入; 系统时钟输入(sysclk):50MHz主时钟; time_sel:洗涤定时选择按键输入; timer_down:定时到输入。 (2) 输出信号: s5min_out:5分钟定时控制; s10min_out:10分钟定时控制;

全自动洗衣机电路原理图

全自动洗衣机电路原理图 全自动洗衣机就是将洗衣的全过程(泡浸-洗涤-漂洗-脱水)预先设定好N个程序,洗衣时选择其中一个程序,打开水龙头和启动洗衣机开关后洗衣的全过程就会自动完成,洗衣完成时由蜂鸣器发出响声。 全自动洗衣机由洗衣系统和控制电路组成。其控制电路分为机械和电脑型,电脑型控制电路是以单片机作为控制电路的核心。图1给出单片机Z86C09组成的全自动洗衣机的控制电路。 Ⅰ.自动洗衣机的洗衣程序 洗衣机面板上有4个按钮K1、K2、K5和K6。 K1用于水流选择,分两档:普通水流与柔和水流; K2用于洗衣周期选择,可以选择洗涤、漂洗和脱水三个过程; K5是暂停开关; K6是洗衣程序选择键。洗衣程序分为标准程序和经济程序。 洗衣机的标准洗衣程序是:洗涤——脱水——脱水——漂洗——脱水——漂洗——脱水。经济洗衣程序少一次漂洗和脱水过程。 1.涤过程 通电后,洗衣机进入暂停状态,以便放好衣物。若不选择洗衣周期,则洗衣机从洗涤过程开始。当按暂停开关键K5时,进入洗涤过程。首先进水阀FV通电,打开进水开关,向洗衣杨供水;当到达预定水位时,水位开关K4接通,进水阀断电关闭,停止进水;电机MO接通电源,带动波轮旋转,形成洗衣水流。电机MO是一个正反转电机,可以形成往返水流,有利于洗涤衣物。 2.脱水过程 洗涤或漂洗过程结束后,电机MO停止转动,排水阀MG通电,开始排水。排水阀动作时,带动离合器动作,使电机可以带动内桶转动。当水位低到一定值时,水位开关K4断开,再经过一段时间后,电机开始正转,带动内桶高速旋转,甩干衣物。 3.漂洗过程 与洗涤过程操作相同,只是时间短一些。 全部洗衣工作完成后,由蜂鸣器发出音响,表示衣物已洗干净。 Ⅱ.洗衣机控制器的硬件组成原理 洗衣机控制器由单片机Z86C09作为控制器的核心所构成,该控制器具有以下特点: (1)具有较强的抗干扰能力,当受到外部强干扰,程序出错时,可以自动使系统复位重新执行程序。 (2)采用无噪声、无电磁干扰的双向晶闸管作为控制元件,控制电磁阀和电机。 (3)具有欠压和过压保护,欠压时,控制器不工作;超压时,保护电路起作用。 (4)具有瞬间掉电保护功能,电源短时间停电后,电压恢复时,能够维持原运行程序的工作状态并继续完成洗衣程序。

全自动洗衣机控制系统概况

合肥学院 计算机科学与技术系 微机原理与接口技术 课程设计 课程设计科目全自动洗衣机控制系统 学生姓名 学号 班级 指导教师高玲玲、肖连军

1、题意分析与解决方案 1.1 题意需求分析 根据以上题目所给的提示,我们对其进行解析:首先,刚开始的时候系统处于初始状态,准备好启动,然后一声蜂鸣表明洗衣机已经进入工作状态。当按下暂停键之后,9s的放衣服时间,然后选择洗衣周期,然后我们进入了洗衣状态。在洗涤的过程时,打开进水阀(此过程就是注水的过程),当到达预定水位时,按下水位开关,然后电机MO转动,在洗涤的过程中电机正反转三次后停止转动。然后进入脱水的过程,此时我们要打开排水阀,然后使电机正转,脱水结束后,电机停止转动。漂洗过程和洗涤的过程相似,只是在漂洗的时候,是把电机转动的次数改成正反转两次。甩干的过程和脱水的过程相似,只是电机转动的时间比脱水过程长一些。 从题意需求分析本课程设计需要解决的问题如下: (1)怎样用程序实现电机的正转反转; (2)我们怎么样在全速运行的条件下知道程序已经进入到哪一个步骤; (3)怎样分配按键,使程序尽可能的全自动化。 1.2 解决问题方法及思路 1.2.1硬件部分 本课程设计具体要求如下: (1)进水阀由继电器模拟; (2)洗衣流程进展过程由LED等指示; (3)预设水位由按键控制; (4)波轮旋转由电机控制。 此次课程设计中,我们在程序运行时,需要用到按键,所以对按键的分配如下:

表1-1 按键分配表 K1 暂停开关0 关闭 1 开启 K2 洗衣程序选择0 标准洗衣 1 经济洗衣 K3 水位开关0 低水位 1 高水位 本实验中我们要用到的硬件主要是8255A、LED指示灯、继电器、八路二进制开关、步进电机、蜂鸣器。 我们将LED指示灯接在8255A的PA口,而LED指示灯在此次实验过程中的作用就是指示洗衣流程进展(第5个灯亮表示在洗涤的过程,第6个灯亮表示在脱水的过程,在第7个灯亮表示在漂洗的过程,第8个灯亮表示在甩干的过程)和提示我们下一步应该怎样按键。将八路二进制开关接在8255A的PB口上,八路二进制开关在本实验中的作用是模拟洗衣机面板上的按键和水位开关的作用。将步进电机的A、B、C、D四相分别按顺序接到PC口的PC0~PC3上,本次实验过程中采用的是四相八拍的步进电机。将蜂鸣器接在PC口的PC4上,蜂鸣器的作用主要是提示我们洗衣机已经启动和洗衣结束。将继电器接入到PC口的PC5上,继电器在本次实验过程中的主要作用是模拟进水阀。 1.2.2软件部分 8255A是一个可编程芯片,我们可以通过程序对8255A芯片进行编程来实现本次课程设计所要求实现的所有功能。在本次实验中我们需要在程序中实现对电机的转动。为了能实现洗涤过程(此过程要求电机正转和反转),所以我们采用的是步进电机,实际应用中步进电机的类型有很多种,然而我们的实验箱上是四相的步进电机,在实验中我采用的是四相八拍的控制,当我们使其从A→AB→B →BC→C→CD→D→DA,这样可以实现电机的正转,当使他从DA→D→CD→C →BC→B→AB→A,这样就可以实现电机的反转,这个过程就是通过程序对PC 口的PC0~PC3进行设置的。排水阀我们是用继电器来模拟的,实验箱上的继电器是低电平工作,所以如果我们要用到继电器即要打开进水阀时,我们只要对PC口的PC5进行设置。在本实验中,我们要使用蜂鸣器来提示洗衣机工作和洗衣结束,这个过程也是通过程序来实现的,我们只要将PC4设置成低电平,蜂鸣器就开始工作了。

数电课程设计报告 洗衣机控制电路

一.设计总体思路,基本原理和框图 1.设计总体思路 课程设计要求实现电机的正传、反转、暂停,用两个LED灯的三种状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,正好一个60秒减计数器可以构成一个循环结构。循环的总时间可以用一个外围100进制减计数器解决,并用两个数码管显示时间。这样一个电路的主体电路就构造出来了,然后一步步实现其具体的功能。用一个74LS74触发器控制整个电路的停止和清零以及连接蜂鸣器计构成报警模块。信号发生器仿真时就直接用方波信号代替,安装电路的时候就直接用脉冲信号。设置两个开关以控制电路的启动和随时停止。现在大体上就这样计划,下面说说基本原理。 2.基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。 3.系统设计框图

二.仿真设计: (一).单元电路的设计 1.分、秒计数器模块 一百进制分计数器和六十秒计数器的原理是一样的,不同的只是它们的输入脉冲和进制不同而已,我们用四片74LS192来实现分计数和秒计数功能,我们要的只是减计数,所以我们把它的UP端接到高电平上去,DOWN端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD端和借位端BO联在一起,再把秒位的BO端和十秒位的DOWN 联在一起。当秒脉冲从秒位的DOWN端输入的时候秒计数的192开始从9减到0;这时,它的借位端BO 会发出一个低电平到秒十位的输入端DOWN,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,秒十位的BO发出一个低电平信号,DOWN为零时,置数端LD等于零,秒十位完成并行置数,下一个DOWN脉冲来到时,计数器进入下一个循环减计数工作中。 对于分计数来说,道理也是一样的;只是要求,当秒计数完成了,分可以自动减少,需要把秒十位的借位端BO端接到分计数的DOWN端作为分计数的输入信号来实现秒从分计数上的借位。当然,这些计数器工作,其中的清零端CR 要处于低电平,置数端不置数时要处于高电平。这是一个独立工作的最高可以显示101分钟的计时器。把四个192的QA/QB/QC/QD都接到外部的显示电路上就可以看到时间的显示了。作为洗衣机控制器的一个模块,它还得有一定的接口来和其他的模块连接在一起协调工作,分计数的清零端LD是接在一起的;秒的清零端LD又是接在一起的,所以当要从外部把它们强制清零时,可以用一个三极管(NPN)或者两个或门就可以实现该功能。还有我们可以利用分计数的UP 端来进行外部置数,当把它们各接到一个低触发(平时保持高电平,外部给一个力就输入一个低电平)的脉冲上就可以实现从0-9的数字输入。

全自动洗衣机控制电路

全自动洗衣机控制电路 摘要自19世纪中叶,美国人史密斯研制出世界上首台洗衣机至今,洗衣机的发展已经历了一个多世纪。1910年世界上第一台电动洗衣机问世,标志着人类家务劳动自动化的开始。在数字技术风行的今天,大多数的家用电器实现了数字化控制。1922年世界上第一台搅拌式洗衣机在美国诞生。1937年世界上第一台全自动滚筒式洗衣机投放市场。1957年三洋公司推出世界上第一台涡流式波轮洗衣机。从此,确立了搅拌式、滚筒式和波轮式三种工作方式洗衣机三足鼎立天下的局面。 关键词全自动洗衣机定时器 1 引言洗衣机是一种在家庭中不可缺少的家用电器,发展非常快,全自动式洗衣机因使用方便得到大家的青睐,全自动即进水、洗涤、漂洗、甩干等一系列过程自动完成。它的发明和应用使人们的洗衣工作变得省时又省力,很好地缓解了人们在家务劳动方面的压力。而在家电市场竞争日益激烈和利润下降的今天,各大家电生产厂商均致力于开发出能满足用户各种要求的智能家电产品,并努力降低生产成本以增强竞争力。 2 方案设计 洗衣机的主要控制电路是一个定时器,它按照一定制洗涤程序控制电动机 作正向和反向转动.定时器可以采用机械式,也可采用电子式.这里采用电 子定时器来控制洗衣机的运转(图A)。

图A 定时器来控制洗衣机的运转 2.1 设电动机用k 1和k 2两个继电器控制,继电器驱动电路如下图B 所示。洗涤定时间在0~10min 内由用户任意设定。 2.2 用两位数码管显示洗涤的预置时间,按倒计时方式对洗涤过程作计时显示,直至时间到而停机。 如果定时时间到,则停机并发出音响信号。 2.3 当定时时间到达终点时,一方面使电动机停转,同时发出音响信号提醒用户注意。 2.4 洗涤过程在送入预置时间后开始运转。 图B 洗衣机电动机驱动电路 3 总体方案与工作原理 3.1 本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循环直至所设定的总定时时间到为止;依据上述要求,可画出总定时T 和电动机驱动信号Z1、Z2的工作波形如下图C 所示。 正转(10S) 暂停(10S) 反转(10S) 暂停(10S) 停止 定时未到 定时启动 定时到 Z 111Z 2 R b1 R b2 VT 1 3DG12 VT 2 3DG12 VD 1 VD 2 K 2 ~220 K 1 正转 反转

基于VHDL语言的简易洗衣机控制器

电子课程设计 ——简易洗衣机控制器设计 学院: 班级: 姓名: 学号: 指导老师: 2013年12月

目录 第一部分:设计任务与要求 (1) 第二部分:总体框图 (1) 第三部分:选择器件 (2) 第四部分:功能模块 (3) 4.1时间预置编码寄存模块(settime) (3) 4.2减法计数器模块(counter) (4) 4.3数码管显示模块(showtime) (7) 4.4时序电路模块(analyse) (9) 4.5译码器模块(move)……………………………………… 1 1 第五部分:总体设计电路图 (13) 5.1总体(顶层)设计电路图 (13) 5.2顶层文件仿真 (13) 5.3管脚分配图 (14) 5.4硬件实验效果图 (14) 第六部分:课程设计心得体会 (15)

简易洗衣机控制器设计 一、设计任务与要求 设计一个洗衣机洗涤程序控制器,控制洗衣机的电动机按下图所示的规律运 转: 时间到 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED 表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、总体框图 RUN REV PAUSE time_over clk K5 start K6 load K1 K2 K3 K4 各个部分的具体功能描述如下: (一)预设时间和编码电路(settime ):接受用户通过按钮预置的时间信息,编码 译码驱动模块(move) clk out_1 out_2 start 时序电路模块(analyse) clk time_over start 十进制减法计数器模块(counter) 洗涤预置时间编码寄存电路模块(settime) 报警信号 时间显示模块(showtime ) 定时启动 停止 正转 暂停 反转 暂停

全自动洗衣机的PLC控制

课题二全自动洗衣机的PLC控制 一、概述 洗衣机的应用现在比较普遍。全自动洗衣机的实物示意图如图所示。 全自动洗衣机的洗衣桶(外桶)和脱水桶(内桶)是以同一中心安放的。外桶固定.作盛水用。内桶可以旋转.作脱水(甩水)用。内桶的四周有很多小孔.使内外桶的水流相通。该洗衣机的进水和排水分别由进水电磁阀和排水电磁阀来执行。进水时.通过电控系统使进水阀打开.经进水管将水注入到外桶。排水时.通过电控系统使排水阀打开.将水由外桶排出到机外。洗涤正转、反转由洗涤电动机驱动波盘正、反转来实现.此时脱水桶并不旋转。脱水时.通过电控系统将离合器合上.由洗涤电动机带动内桶正转进行甩干。高、低水位开关分别用来检测高、低水位。启动按钮用来启动洗衣机工作。停止按钮用来实现手动停止进水、排水、脱水及报警。排水按钮用来实现手动排水。 二、设计任务和要求 该全自动洗衣机的要求可以用流程图来表示。 PLC投入运行.系统处于初始状态.准备好启动。启动时开始进水.水满(即水位到达高水位)时停止进水并开始正转洗涤。正转洗涤15 s后暂停.暂停3 s后开始反转洗涤。反转洗涤15s 后暂停.暂停3 s后.若正、反洗涤未满3次.则返回从正转洗涤开始的动作;若正、反洗涤满3次时.则开始排水。排水水位若下降到低位时.开始脱水并继续排水。脱水10s即完成一次从进水到脱水的工作循环过程。若未完成3次大循环.则返回从进水开始的全部动作.进行下一次大循环;若完成了3次大循环.则进行洗完报警。报警10s结束全部过程.自动停机。’此外.还要求可以按排水按钮以实现手动排水;按停止按钮以实现搬运.停止进水、排水、脱水及报警。

三、设计方案提示 1.I/O地址 输入输出 :启动按钮:进水电磁阀 Xl:停止按钮:电动机正转接触器 :排水按钮:电动机反转接触器 :高水位开关:排水电磁阀 :低水位开关:脱水电磁阀 :报警蜂鸣器 2.方案提示 ①用基本指令、定时指令和计数指令组合起来设计该控制程序。 ②用步控指令实现该控制。

洗衣机控制电路数电实验

2010—2011学年第二学期《数字电子技术课程设计》报告 课题:简易洗衣机控制电路 专业班级:自动化 09-1 姓名:仇涛 学号: 09051107 设计日期: 2011年7月7日~10日

目录 一、设计任务及要求-------------------------------------------------------------------------------2 (一)具体要求--------------------------------------------------------------------------------2 (二)输入输出资源说明--------------------------------------------------------------------2 二、设计原理与方案--------------------------------------------------------------------------------4 (一)顶层设计方案---------------------------------------------------------------------------4(二)分频器设计方案------------------------------------------------------------------------5(三)计时器(倒计时)设计方案---------------------------------------------------------5 (四)显示器设计方案------------------------------------------------------------------------5(五)暂停设计方案---------------------------------------------------------------------------5 三、电路设计、仿真与实现-----------------------------------------------------------------------6(一)顶层的设计实现------------------------------------------------------------------------6(二)分频器的设计实现---------------------------------------------------------------------8

洗衣机自动控制电路设计与实现

武汉理工大学《数字电子技术基础》课程设计 课程设计任务书 学生姓名:杜炳谦专业班级:通信1006 指导教师:付琴工作单位:信息工程学院 题目: 洗衣机自动控制电路设计与实现 初始条件: 通过学到的知识,利用定时器、数码管、LED等数字电路器实现系统设计。要求完成的主要任务: 1.电路原理说明以及原理图的设计 2.设计电路的仿真,并给出仿真结果及分析 3.设计报告的撰写 时间安排: 指导教师签名: 2012年 6月 7 日系主任(或责任教师)签名: 2012年 6月 7 日

目录 目录........................................................................................................................ I 摘要.................................................................................................................... I Abstract ................................................................................................................. I I 1.引言 (1) 2.设计要求和实现的功能 (2) 3.设计原理与方案 (3) 3.1 方案选择 (4) 4.单元电路的设计 (5) 4.1秒脉冲发生器 (5) 4.2 分秒计数器 (6) 4.3 控制电路部分 (10) 4.4循环电路 (11) 4.5 总电路图 (13) 5.电路仿真结果 (14) 5.1 秒脉冲发生器 (14) 5.2 显示电路仿真结果 (15) 6心得体会 (19) 参考文献 (19) 附录 (21)

全自动洗衣机控制系统设计

全自动洗衣机控制系统 设计 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

东北大学秦皇岛分校自动化工程系自动控制系统课程设计 全自动洗衣机控制系统的设计 专业名称自动化 班级学号 学生姓名 指导教师 设计时间2011.6.27~2011.7.8 东北大学秦皇岛分校自动化工程系 《自动控制系统》课程设计任务书 专业:自动化班级: 姓名: 设计题目:全自动洗衣机控制系统的设计 一、设计实验条件 装有单片机仿真软件的电脑。 二、设计任务 全自动洗衣机控制系统的要求是能实现“正常运行”和“强制停止”两种控制方式。 1.正常运行 “正常运行”方式具体控制要求如下: (1)将水位通过水位选择开关设在合适的位置(高、中、低),按下“启动”按扭,开

始进水,达到设定的水位(高、中、低)后,停止进水; (2)进水停止 2s 后开始洗衣; (3)洗衣时,正转 20s,停 2s,然后反转 20s,停 2s; (4)如此循环共 5 次,总共 220s 后开始排水,排空后脱水 30s;(5)然后再进水,重复(1)~(4)步,如此循环共 3 次; (6)洗衣过程完成,报警 3s 并自动停机。 2.强制停止 “强制停止”方式具体控制要求如下: (1)若按下“停止”按扭,洗衣过程停止,即洗涤电机和脱水桶转、进水电磁阀和排水 电磁阀全部闭合; (2)可用手动排水开关和手动脱水开关进行手动排水和脱水。 三、设计说明书的内容 1、设计题目与设计任务(设计任务书) 2、前言(绪论)(设计的目的、意义等) 3、主体设计部分 4、参考文献 5、结束语 目录

课程设计 简易洗衣机控制器

课程设计简易洗衣机控制器 现代社会人们的生活水平越来越高,洗衣机作为一种方便人们洗涤的设备进入了千家万户。洗衣机控制器的设计也变的越来越重要。随着大规模集成电路技术的发展,人们的日常生活越来越数字化和集成化,洗衣机控制器的发展也在不断的前进。 从课程设计要求来看,本次设计用到了我们熟悉的555定时器构成的多谐振荡器来产生脉冲,74LS192芯片,数码管等电路器件,理所当然的分钟计数器、秒计数器是一定要有的。通过一些基本的电路元件(电阻、电容)和门电路(非门、或门、与门、与非门、异或门)将各个电路器件连接起来,除了这些,还要求在定时结束后有一个指示灯来提示定时时间到,还要求电路有清零和指数的功能,这些用逻辑电平开关即可以实现。 1、设计任务和指标 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作,具体指标如下: 图1、洗涤模式 (1)当定时时间达到终点时,一方面使用电机停机,同时发出音响信号(可换成指示灯)提醒用户。 (2)用两位数码管显示洗涤预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。 2、设计原理和参考电路 (1)设计方案 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作,当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。该方案的原理框图如图2所示。

基于PLC全自动洗衣机控制系统方案

本科生毕业设计(论文)题目基于PLC的全自动洗衣机控制系统

基于PLC的全自动洗衣机控制系统 摘要:随着社会经济的发展和科学技术水平的提高,家庭电器全自动化成为必然的发展趋势。全自动洗衣机的产生极大的方便了人们的生活。洗衣机是国家电业唯一不打价格战的行业,经过几年的平稳发展,国产洗衣机无论在质量上还是功能上都和世界领先水平同步。纵观洗衣机市场,高效节能、省水、省电、环保型洗衣机一直在市场上占主导地位。 根据全自动洗衣机的工作原理,利用可编程控制器PLC实现控制,说明了PLC控制的原理方法,特点及控制洗衣机的特色。PLC的优点是:可靠性高,耗电少,适应性强,运行速度快,寿命长等,为了进一步提高全自动洗衣机的功能和性能,避免传统控制的一些弊端,就提出了用PLC来控制全自动洗衣机这个课题。全自动洗衣机控制系统利用了西门子S7-200系列PLC的特点,对按鈕,电磁阀,开关等其他一些输入/输出点进行控制,实现了洗衣机洗衣过程的自动化。由于每遍的洗涤,排水,脱水的时间由PLC计数器控制,所以只要改变计数器参数就可以改变时间。可以把上面设定的程序时间定下来,作为固定程序使用,也可以根据衣物的质地,数量及油污的程度来编程。该论文就怎样利用PLC来控制全自动洗衣机进行了调查,对其中软件设计、硬件设计等问题进行了分析和研究,实现了全自动洗衣机的正常运行和强制性停止功能。 关键词:PLC;自动;定时;控制

Entire automatic washer control system design Undergraduate:Qiu Yun qiao Supervisor:Yuan Liang Abstract:Along with the social economy development and the science and technology level enhancement, the family electric appliance entire automation becomes the inevitable development tendency. Entire automatic washer production enormous convenience people's life. The washer is the domestic electrical appliances industry does not only hit the profession which the price fights, passes through several year steady development, the domestically produced washer regardless of in quality or in function all with world leading horizontal synchronization. Looks over the washer market, the highly effective energy conservation, the province water, the province electricity, the environmental protection washer continuously occupy the dominant position in the market. How does this paper study controls the entire automatic washer using PLC, to question and so on software design, hardware design has carried on the analysis and the discussion, has realized the entire automatic washer normal operation and compulsory stops the function. Keywords: PLC; control; delay;entire automatic

相关文档
最新文档