999倒计时计时器设计

999倒计时计时器设计
999倒计时计时器设计

倒计时计时器的用途很广泛。它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。

一、设计要求

用三个可预置数的减计数器组成三个二-十进制减计数器。用三个译码器和三个LED数码显示器,COMSS电路组成999秒内倒计时。

二、设计任务

1.完成全电路的理论设计

2.参数的计算和有关器件的选择(秒计时,译码显示)

3.对电路进行仿真

4.撰写实验报告书一份;A3图纸至少一张。报告书要求写

以下组要内容

(1)总体方案的选择和设计

(2)各个单元电路的选择和设计

(2)仿真的过程的实现

1

目录

一、设计任务和要求 ^ (3)

二、设计的方案的选择与论证 (4)

三、电路设计计算与分析 (5)

(1).系统设计框图 (5)

(2).时钟脉冲发生器 (5)

(3).计数器电路 (7)

(4).译码器和显示管 (9)

四、总结及心得 (11)

五、附录 (13)

六、参考文献 (14)

2

一、设计任务和要求

说明:倒计时计时器的用途很广泛。它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。

(1).用三个可预置数的减计数器组成三个二-十进制减计数器。

(2).用三个译码器和三个LED数码显示器,COMSS电路组成999秒内倒计时。

(1).完成全电路的理论设计

(2).参数的计算和有关器件的选择(秒计时,译码显示)

(3).对电路进行仿真

(4).撰写实验报告书一份;A3图纸至少一张。报告书要求

写以下组要内容

(1)总体方案的选择和设计

(2)各个单元电路的选择和设计

(2)仿真的过程的实现

3

二、设计的方案的选择与论证

我们可以用时钟脉冲发生器来产生频率为1Hz的脉冲,即输出周期为1秒的方波脉冲,将该方波脉冲信号送到计数器74LS192的CP 减计数脉冲端,再通过译码器74LS48把输入的8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,或者将该方波脉冲信号送到减法计数器CD40110的CP减计数脉冲端,通过计数器把8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,然然后在适当的位置设置开关或控制电路即可实现计数器的直接清零,启动和暂停/连续、译码显示电路的显示。在74LS192输入端设置4个开关,通过开关的高低电平状态从而实现999秒内任意时间的倒计时。

4

三、电路设计计算与分析

(1).系统设计框图如图1:

图 1 系统设计框图

(2).时钟脉冲发生器

时钟脉冲:脉冲信号是一个按一定电压幅度,一定时间间隔连续发出的脉冲信号。脉冲信号之间的时间间隔称为周期;而将在单位时间(如1秒)内所产生的脉冲个数称为频率。频率是描述周期性循环信号(包括脉冲信号)在单位时间内所出现的脉冲数量多少的计量名称;频率的标准计量单位是Hz (赫)。

5

(3).计数器电路

计数器是一个用以实现计数功能的时序逻辑部件,它不仅可以用来对脉冲进行计数,还常用做数字系统的定时、分频和执行数字运算以及其他特定的逻辑功能。本次课程设计中选用74LS192来实现要求的减法计数功能。74LS192是双时钟方式的十进制可逆计数器。

其引脚排列及逻辑符号如图2所示:

图2 74LS192 管脚图

UP为加计数时钟输入端

CPU为进位输出:1001状态后负脉冲输出,

CPD为借位输出:0000状态后负脉冲输出。

D0~D3为数据输入端

6

Q0~Q3为数据输出端

图3为74LS192 的功能表:

图 3 74LS192 的功能表图4为用三个74LS192构成的减计数器

7

图 4 减计数器

74LS192输入端4个开关,可以实现999秒内任意时间的倒计时。通过开关的高低电平状态,给74LS192 置数。

8

(4).译码器和显示管

74LS48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和单片机系统的显示系统中。

图5译码器和显示管

9

图5为仿真电路图

图 6 仿真电路图

10

课程设计_单片机__60秒秒表汇编

目录 前言 (2) 1.总体设计方案 (3) 2硬件设计方案 (3) 2.1 电路原理 (3) 2.2 电路原理图 (4) 3.软件设计(加流程图) (6) 3.1函数流程图 (6) 3.2 算法描述 (9) 3.3源程序 (10) 4系统的安装调试 (11) 5课程设计总结与体会 (12) 6.参考文献 (14)

前言 单片机是一种集成电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的微型计算机系统,在工业控制领域的广泛应用。从上世纪80年代,由当时的4位、8位单片机,发展到现在的32位300M的高速单片机。单片微型计算机简称单片机,是典型的嵌入式微控制器单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。单片机由运算器,控制器,存储器,输入输出设备构成,相当于一个微型的计算机(最小系统),和计算机相比,单片机缺少了外围设备等。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。它最早是被用在工业控制领域。由于单片机在工业控制领域的广泛应用,单片机由仅有CPU的专用处理器芯片发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。 本次课程设计要求制作一个秒表,开始时,显示“00”,第1次按下按钮后就开始计时;第2次按按钮后,计时停止;第3次按按钮后,计时归零。

基于时钟的24小时计时器的设计

《数字与逻辑电路基础》课程设计——24小时计时器的设计 姓名: 学号: 学院: 任课教师:

目录 ....................................................................................... 错误!未定义书签。引言. (3) 摘要 (3) 74LS390介绍 (3) DCD-HEX数码管介绍 (4) 一、设计思路 (4) 二、设计框图 (5) 三、各个计时芯片的输出状态表 (5) 1.秒针低位输出状态表 ................................................. 错误!未定义书签。 2.秒针高位输出状态表 (6) 3.分针低位输出状态表 (6) 4.分针高位输出状态表 (6) 5.时针低位输出状态表(高位为0、1时) (7) 6.时针低位输出状态表(高位为2时) (7) 7.时针高位输出状态表 (7) 四、反馈置数设计分析 (8) 五、进位信号的输入端分析与选择 (8) 六、电路图绘制 (9) 七、用M ULTISIM仿真并进行截图 (9) 八、对仿真结果分析 (9)

引言 现在的日常生活都离不开时间,有些时候就需要进行时间的计时,比如奥运会的比赛需要计时,汽车动力性能技术指标的测试也需要计时,上到卫星火箭,下到潜艇游轮,甚至做个课堂练习也要计时,生活中无时不刻都在都离不开计时器的应用。因此,精准计时器的设计与生产变得尤为重要。所以,本次设计将基于Multisim软件进行计时器的设计与仿真。 摘要 24时计时器将采用6个74LS390芯片对各个计时位进行输出,6个七段数码管进行译码以及显示,采用反馈置数的方式进行各个位的计时进行清零(该芯片清零方式为异步清零);根据设计框图分析先列出输出状态表,然后根据输出状态表结果进行电路的绘制;然后根据电路的绘制结果,在Multisim软件上进行电路设计与连接,最后进行计时器仿真截,图并且对仿真结果进行分析。 74LS390介绍 74LS390双2-5-10进制的异步计数器且为下降沿触发,从CPA输入计数脉冲,由QA输出产生2分频信号:CPB输入计数脉冲,由QD 输出可产生5分频信号。若在器件外部将QA于CPB相连,计数脉冲从CPA输入,即成为8421BCD码十进制计数器;若将QD与CPA相连,计数脉冲从CPB输入,便可成为5421BCD码十进制计数器,输出顺

基于单片机的倒计时器(计数器)课程设计)

湖南文理学院课程设计报告 课程名称:单片机原理课程设计 学院:电信学院 专业班级:自动化07101 学生姓名: 指导老师: 完成时间: 报告成绩:

倒计时器设计

目录 目录 (1) 摘要 (3) ABSTRACT (4) 第一章设计要求与方案确定 (5) 1.1设计意义 (5) 1.2设计要求 (5) 1.3方案确定 (5) 第二章硬件电路 (6) 2.1单片机概述 (6) 2.1.1 单片机基础 (6) 2.1.2单片机与单片机系统 (7) 2.1.3 单片机的产生与发展 (7) 2.2MCS-51系列单片机介绍 (8) 2.2.1 80C51 芯片介绍 (8) 2.2.3 最小系统 (9) 2.2.4 定时与中断的概念 (10) 2.4LED显示电路设计与器件选择 (12) 2.4.1.LED显示器的选择 (13) 2.4.2LED驱动芯片选择 (13) 2.5按键电路设计 (13) 2.6蜂鸣器电路的设计 (14) 第三章倒计时器的设计 (15) 3.1倒计时器系统设计方案及框图 (15) 3.2程序设计 (15) 3.2.1主程序设计 (15) 3.2.2倒计时模块设计 (17) 3.2.3键盘扫描数码管显示程序 (17)

第四章倒计时器设计仿真 (18) 4.1设置倒计时初值 (18) 4.2开始倒计时 (18) 4.3倒计时结束并报警 (18) 总结 (20) 参考文献 (21) 致谢 (22) 附录1 倒计时器设计源程序 (23) 附录2 所用元器件清单 (23)

摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断的走向深入,同时带动传统控制检测日新月异更新。在实时控制和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 本系统由单片机系统、矩阵式键盘、蜂鸣器和LED数码管显示系统组成。装置利用AT89C51单片机与74LS245驱动器驱动LED数码管显示。通过按键控制设定倒计时时间,再通过中断控制系统开始倒计时。当倒计时时间到时,由P1.0口驱动蜂鸣器发声报警。为了简化电路,降低成本,采用以软件为主的的接口方法。 该系统实用、功能灵活多样,可以对计时时间进行实时控制,可以广泛的应用于各种场所的控制设备。 【关键词】单片机;LED数码管显示器;倒计时;报警

集成计数器及寄存器的运用 实验报告

电子通信与软件工程 系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级: 姓名: 学号: 成绩: 同组成员: 姓名: 学号: --------------------------------------------------------------------------------------------------------------------- 一、 实验名称:集成计数器及寄存器的运用 二、实验目的: 1、熟悉集成计数器逻辑功能与各控制端作用。 2、掌握计数器使用方法。 三、 实验内容及步骤: 1、集成计数器74LS90功能测试。74LS90就是二一五一十进制异步计数器。逻辑简图为图8、1所示。 四、 五、 图8、1 六、 74LS90具有下述功能: ·直接置0(1)0(2)0(.1)R R ,直接置9(S9(1,·S,.:,=1) ·二进制计数(CP 、输入QA 输出) ·五进制计数(CP 2输入Q D Q C Q B 箱出) ·十进制计数(两种接法如图8.2A 、B 所示) ·按芯片引脚图分别测试上述功能,并填入表 8、1、表8、2、表8、3中。

图8、2 十进制计数器 2、计数器级连 分别用2片74LS90计数器级连成二一五混合进制、十进制计数器。 3、任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法)。可用74LS90组成任意模(M)计数器。图8、3就是用74LS90实现模7计数器的两种方案,图(A)采用复位法。即计数计到M异步清0。图(B)采用置位法,即计数计到M一1异步置0。 图8、3 74LS90 实现七进进制计数方法 (1)按图8、3接线,进行验证。 (2)设计一个九进制计数器并接线验证。 (3)记录上述实验的同步波形图。 四、实验结果:

软件延时实现60秒计时器

一、实验任务 如下图所示,在A T89S51单片机的P0和P2端口分别接有两个静态共阴数码管,P0口驱动显示秒时间的十位,而P2口驱动显示秒时间的个位。 二、电路原理图 图11.1 三、硬件连线 参照教程十的方法完成硬件连线(只是去掉按键部分)。 四、程序设计内容 1在设计过程中我们用一个存储单元作为秒计数单元,当一秒钟到来时,就让秒计数单元加1,当秒计数达到60时,就自动返回到0,从新秒计数。 2对于秒计数单元中的数据要把它十位数和个数分开,方法仍采用对10整除和对10求余。 3在数码上显示,仍通过查表的方式完成。 4一秒时间的产生在这里我们采用软件精确延时的方法来完成,经过精确计算得到1秒时间为1.002秒。 DELY1S: MOV R5,#100 D2: MOV R6,#20 D1: MOV R7,#248 DJNZ R7,$

DJNZ R6,D1 DJNZ R5,D2 RET 五、程序框图 图11.2 六、汇编源程序 Second EQU 30H ORG 0 START: MOV Second,#00H NEXT: MOV A,Second MOV B,#10 DIV AB MOV DPTR,#TABLE MOVC A,@A+DPTR MOV P0,A MOV A,B MOVC A,@A+DPTR MOV P2,A LCALL DELY1S INC Second MOV A,Second CJNE A,#60,NEXT LJMP START

DELY1S: MOV R5,#100 D2: MOV R6,#20 D1: MOV R7,#248 DJNZ R7,$ DJNZ R6,D1 DJNZ R5,D2 RET TABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH END 七、C语言源程序 #include unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66, 0x6d,0x7d,0x07,0x7f,0x6f}; unsigned char Second; void delay1s(void) { unsigned char i,j,k; for(k=100;k>0;k--) for(i=20;i>0;i--) for(j=248;j>0;j--); } void main(void) { Second=0; P0=table[Second/10]; P2=table[Second%10]; while(1) { delay1s(); Second++; if(Second==60) { Second=0; } P0=table[Second/10]; P2=table[Second%10]; } }

60s计时器的设计与实现

电子系统设计创新实验 报告 题目60s计时器的设计与实现 学生姓名高权黄盼徐传武易孟华 学生学号016321232404 07 14 15 专业名称电子信息工程 指导教师肖永军 2016年11月17 日

设计要求: 1、利用单片机定时器/计数器T0中断设计秒表。 2、实现基本的0-60秒计时。 3、以数码管作为显示器件,用单片机进行控制。

摘要 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字秒表,用AT89C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件晶振电路,复位电路,数码管显示电路来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键字:AT89C51 单片机数码管

一、系统总体设计 系统总体设计框图如图1所示,该系统共由时钟电路模块、复位电路模块、AT89C51单片机及数码管显示电路组成。其中主控制器用于系统控制,可以控制电路的开关的功能,系统中AT89C51单片机作为主控元件,计数器显示电路由数码管和驱动电路组成。 图1 系统总体设计框图 二、系统硬件设计 (1)复位电路 采用上电+按键复位电路,上电后,由于电容充电,使RST持续一段高电平时间。当单片机已在运行之中时,按下复位键也能使用使RST 持续一段时间的高电平,从而实现上电加开关复位的操作。这不仅能使单片机复位,而且还能使单片机的外围芯片也同时复位。当程序出现错误时,可以随时使电路复位。 复位电路如图2所示:

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

60秒加计时电路

课程设计报告____2010/2011 学年第一学期 课程名称:电子工艺实习 题目:60秒加计时电路 院系:计算机与信息学院电子工程系专业班级:电子信息工程081801 学号: 姓名: 指导教师: 完成日期:2010-12-15

目录 目录 (2) 前言 (3) 内容 (3) 一、实验目的 (3) 二、实验要求 (3) 三、实验器件 (3) 四、实验原理 (4) 五、调试及测试结果分析 (5) 六、实验小结或体会 (6) 附图1: (7)

前言 随着信息时代信息的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是各种竞技运动中,计数器器成为运动员成绩的一个重要工具。 一、实验目的 1.根据原理图分析各单元电路的功能; 2.熟悉电路中所用到的各集成块的管脚及其功能; 3.进行电路的装接,调试,直到电路达到规定的设计要求; 4写出完整,详细的设计报告。 二、实验要求 1、具有显示60秒可加计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、计时器为60秒递增计时器。 三、实验器件

四、实验原理 1、方案总体设计 60秒可加计时器的方案框图如下图所示。它包括秒脉冲发生器、计数器、译码显示电路、控制电路等模块组成。其中计数器和控制电路是系统的主要模块。计数器完成60秒计时功能,而控制电路完成计数器饿直接清零,启动计数、暂停/连续计数,译码显示电路功能。 秒脉冲发生器产生的的信号是电路的时钟脉冲和定时标准,但本设计对信号要求不太高,故电路采用555集成电路构成。 译码显示电路由74LS48和共阴极七段LED显示器组成。 2、计时电路的组成 设计中通过两片74LS192的级联来实现一个60进制的计数器。当低位片从0跳到9时,高位片进位加一,直到实现60秒的计数功能。计数电路的核心是置数部分。因为本设计要求从0到60,所以本设计中预置数置为0000和0000即可,又由于到60要清零,所以在十位输出端加个与非门使其到60则自动预置0从而达到实验要求。 在设计中我们选择的是同步加/减计数器74LS192。它是双时钟同步可逆计数器,是8421BCD码计数,其详细引脚图及功能表如下:

时钟计时器课程设计

单片机原理及应用课程设计报告书 题目:时钟计时器的设计 姓名: 学号: 专业:电气工程及其自动化 指导老师:周令 设计时间:2011年4月 电子与信息工程学院

目录 1. 引言 (1) 1.1. 设计意义 (1) 1.2. 系统功能要求 (1) 2. 方案设计 (1) 2.1. 数字时钟计时器设计方案论证 (1) 2.2. 硬件系统的总体设计框图 (2) 3. 硬件设计 (2) 4. 软件设计 (3) 4.1. 主程序 (3) 4.2. 显示子程序 (4) 4.3. 定时器T0中断服务程序 (4) 4.4. 定时器T1中断服务程序 (5) 4.5. 调时功能程序 (6) 4.6. 秒表功能程序 (6) 4.7. 闹钟时间设定功能程序 (6) 5. 调试及性能分析 (7) 5.1. 硬件调试 (7) 5.2. 软件调试 (7) 5.3. 性能分析 (8) 6. 设计总结 (8) 7. 附录A:汇编源程序 (9) 8. 附录B:作品实物图片 (26) 9. 参考文献 (27)

时钟计时器的设计 1.引言 1.1.设计意义 随着时代的进步和发展,单片机技术已经普及到我们生活,工作,科研,各个领域,已经成为一种比较成熟的技术,本文将介绍一种基于单片机控制的数字时钟计时器,本数字时钟计时器,可以显示时、分、秒,以24小时计时方式运行,能整点提醒(短蜂鸣,次数代表整点时间),使用按键开关可实现时、分调整,秒表/时钟功能转换,省电(关闭显示)及定时设定提醒(蜂鸣器)等功能。 人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中数字时钟计时器就是一个典型的例子,但人们对它的要求越来越高,要为现代人工作、科研、生活、提供更好的更方便的设施就需要从单片机技术入手,一切向着数字化控制,智能化控制方向发展。 本设计所介绍的数字时钟计时器与传统的计时器相比,具有读数方便,操作简单,计时精准,还能实现整点提醒,定时提醒等功能。其输出时间采用数字显示,主要用于对时间要求精度高的场所,或科研实验室使用,该设计控制器使用单片机AT89C52,用6位共阳极LED数码管以串口传送数据,实现数字显示功能,能准确达到以上要求。 1.2. 系统功能要求 用单片机及6位LED数码管显示时、分、秒,以24小时计时方式运行,能整点提醒(短蜂鸣,次数代表整点时间),使用按键开关可实现时、分调整,秒表/时钟功能转换,省电(关闭显示)及定时设定提醒(蜂鸣器)等功能。 2.方案设计 2.1. 数字时钟计时器设计方案论证 为了实现LED显示器的数字显示,可以采用静态显示法和动态显示法。由于静态显示法需要数据锁存器等硬件,接口复杂一些,又考虑到时钟显示只有6位,且系统没有其他复杂的处理任务,所以决定采用动态扫描法实现LED的

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

00-60秒表说明书

编号: 2 微机综合实践说明书 题目: 学院: 专业: 学生姓名: 学号: 指导教师单位: 指导老师:

目录 一、摘要 二、前言 2.1、设计任务及功能简介 (1) 2.2、设计项目应用及意义 (1) 三、总体方案设计 3.1、方案设计 (1) 3.2、元器件清单 (2) 四、电路原理图设计 4.1、总体电路图 (2) 4.2、复位电路设计 (3) 4.3、晶振输入电路设计 (3) 4.4、液晶显示电路 (4) 4.5、开关电路 (4) 五、系统硬件设计及说明 5.1、硬件总体设计方案 (4) 5.2、并行I/O口P0~P3结构与设计 (5) 5.3、相关硬件说明 (6) 5.4、定时/计数器工作原理 (10) 六、系统软件设计及说明 6.1、总体设计方案 (13) 6.2、程序流程图 (13) 6.3、系统程序 (15) 七、我的工作---Proteus软件仿真 7.1、软件仿真总体步骤 (15) 7.2、在PROTEUS中设计出相应的硬件电路 (16) 7.3、用keil软件生成HEX文件 (16) 7.4、烧录程序仿真 (17) 八、课程设计总结 (18) 九、附录---秒表汇编程序 (19)

一、摘要 随着电子技术的飞速发展,电子技术在相关领域的运用也是越来越广泛,人们对它的认识也相应的增加。常用于各种体育赛事以及各种要求精确时间的领域就要用到秒表计时器,秒表计时器开关的使用方法与传统的计时器相同,也就是按一下开关就开始计时,再按一下就停止,操作很是简单。而复位开关可以在任何情况下使用,即使是正在计时,只要你按下复位键,计时就立即终止而且对秒表的时间清零。这个课程设计就是利用所学到的电子元器件将脉冲源用液晶显示屏显示出来,以达到制作简易秒表的目的。除此之外,此次设计还扩展了很多内容,比如倒计时设定,可以设定时间进行倒计时。此设计可以应用到倒计时控制系统,进行定时控制等。 [关键词] 启/停开关复位按键液晶显示倒计时

电子技术课程设计 篮球30s计时器的设计

课程设计名称:电子技术课程设计 题目:篮球竟赛30s计时器设计 专业:电气工程与自动化 班级:电气09-2 姓名:张瑞 学号:09005040229

摘要 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是以时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 关键字计时器 ; 光电报警 ; 模块化

前言 人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。随着工业水平的进步和人民生活水平的提高,在很多领域都需要几个甚至上百个定时电路去控制多项操作,从而实现工业生产的自动化,最终提高劳动生产率促进经济的发展。定时器在实际工作中用到的场合很多,它成为今天工业控制领域、通讯设备、信息处理以及日常生活中最广泛使用的电路之一,在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒,用于各种竞赛的计时器、竞赛用定时器、数控电梯、数控机床、交通灯管理系统、各种智能医疗器械等,定时器是家用电器中的常用产品。 随着电子技术的高速发展和计算机技术的普遍应用,电子设计也越来越普遍地应用于整个电子行业中。电子设计是人们进行电子产品设计、开发和制造过程中十分关键的一步,其核心就是电子电路的设计。电子设计自动化(EDA)是在电子产品向更复杂、更高级,向数字化、集成化、微型化和低耗能方向发展过程中逐渐产生并日趋完善的电子设计方法,在这种方法中,设计过程的大部分工作(特别是底层工作)均由计算机自动完成,是电子技术发展历程中产生的一种先进的设计方法,是当今电子设计的主流。 在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。 定时器的应用范围极为广泛,其中首推由555构成的定时电路。集成器件555芯片是一种模拟电路和数字电路相结合的中规模集成电路,其逻辑功能强,使用灵活,可方便组成多种逻辑功能电路,能够更加简单更加快捷的实现定时功能,满足在日常生产和生活中的要求,所以555定时器电路在各个领域的应用及其广泛,在数字电路中占有重要位置,受到人们的普遍重视。本设计的秒脉冲发生器就是用由555构成的定时电路。

24秒计时器课程设计

电子课程设计篮球24秒计时器 班级:自动化092201H班 姓名:陈鹏飞 学号:200922060101

目录 序言 (3) 一、设计任务及要求 (3) 二、总体框图 (3) .......................................................................................................... .......................................................................................................... .......................................................................................................... 三、选择器件 (4) ........................................................................................................... .......................................................................................................... 四、功能模块 (8) 五、总体电路设计 (12) 六、参考文献 (14) 七、心得体会 (14)

序言 篮球比赛中除了有总时间倒计时外,为了加快比赛的节奏,新的规则还要 求进攻方在24秒内有一次投篮动作,否则视为违例。本人设计了一个篮球比赛计时器,可对比赛总时间和各方每次控球时间既是。该计时器采用按键操作,LED 显示,非常实用,此计时器也可作为其他球类比赛的计时器。 篮球24秒计时器 一、设计任务与要求 1. 有显示24秒的计时功能 2. 置外部操作开关,控制计时器的直接清零,起碇和暂停连续功能 3. 计时器喂24秒递减计时器,其间隔为1秒 4. 计时器递减计时到0时,数码显示器不能灭灯 应发出光电报警信 号 二、总体框图 二. 1秒脉冲发生器: 秒脉冲信号发生器需要产生一定精度和幅度的矩形波信号。实现这样矩形波的方法很多,可以由非门和石英振荡器构成,可由单稳态电路构成,可以由施密特触发器构成,也可以由555点哭构成等。 不同的电路队矩形波频率的精度要求不同,由此可以选用不同电路结构的脉冲信号发生器。本实验中由于脉冲信号作为计数器的计时脉冲,其精度直接影响计数器的精度,因此要求脉冲信号有比较高的精度。一般情况下,要做出一个精度比较高的 频率很低的振荡器有一定的难度 工程上解决这一问题的办法就是先做一个频率比较高的矩形波震荡器,然后将其输出信号通过计数器进行多级分项,就可以得到频率比较低 精度比较高的脉冲信号发生器,其精度取决于振荡 秒脉冲发生器 外部操作信号 译码/显示电路 24t 计数器 控制电路 报警电路

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

单片机时钟计时器的设计论文.docx

单片机的时钟计时器论文 目录 一.容摘要 二.关键词和引言 三.时钟计时器设计 1方案设计 2原理分析 四.实验器材 五.利用 protel99设计电路原理图 1原理图 2PCB图 六调试及性能分析 七.心得体会 八.参考文献 九.时钟计时器使用说明书 1.产品概述 2.技术参数 3.工作原理 4.结构特征 5.使用和维护 十.时钟计时器技术说明书 1.产品概述 2.技术参数 4.结构特征

十一、附录时钟计时器汇编程序清单 一.容摘要: 时钟,自从它发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术的不断发展,人们对时间计量的精度要求越来越高,应用越来越广。怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。 现今,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都采用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用 LED 显示器代替显示器代替指针显示进而显示时间,减小了计时误差,这种表具有时,分,秒显示时间的功能,还可以进行时和分的校对,片选的灵活性好。本文利用单片机实现数字时钟计时功能的主要容,其中 AT89C52 是核心元件同时采用数码管动态显示“时”,“分”,“秒”的现代计时装置。与传统机械表相比,它具有走时精确 ,显示直观等特点。它的计时周期为 24 小时,显满刻度为“23 时 59 分 59 秒”,另外具有校时功能,断电后有记忆功能,恢复供电时可实现计时同步等特点。 本文主要介绍用单片机部的定时 / 计数器来实现电子时钟的方法,本设计由单片机 AT89C52 芯片和 LED 数码管为核心,辅以必要的电路,构成了一个单片机电子时钟 二.关键词:单片机、数码管、端口、时钟、动态显示。 引言 : 单片机自 20 世纪 70 年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗 干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发 较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业 自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电 一体化设备等各个方面。这次设计通过对它的学习、应用,以 AT89S52

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

计数器的设计实验报告

计数器的设计实验报告 篇一:计数器实验报告 实验4 计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是

CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。 图5- 9-1 CC40192引脚排列及逻辑符号 图中LD—置数端CPU—加计数端CPD —减计数端CO—非同步进位输出端BO—非同步借位输出端 D0、D1、D2、D3 —计数器输入端 Q0、Q1、Q2、Q3 —数据输出端CR—清除端 CC40192的功能如表5-9-1,说明如下:表5-9-1 当清除端CR为高电平“1”时,计数

器直接清零;CR置低电平则执行其它功能。当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3 置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CPD 接高电平,计数脉冲由CPU 输入;在计数脉冲上升沿进行8421 码十进制加法计数。执行减计数时,加计数端CPU接高电平,计数脉冲由减计数端CPD 输入,表5-9-2为8421 码十进制加、减计数器的状态转换表。加法计数表5-9- 减计数 2、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图5-9-2是由CC40192利用进位

60秒计时器

单片机课程设计说明书 单片机课程设计说明书 题目: 00—60秒表设计学院:机电工程学院 专业:机械设计制造及其自动化学生姓名: xxx 学号: xxx 指导教师单位: xxx 姓名: xxx 2013年12月13日

摘要 60秒计时器以单片机为核心,由计时器,控制器等组成。系统采用模块化设计,主要分为计时器显示模块和按键控制模块。每个模块的程序结构简单,任务明确,易于编写、调试和修改。编程后利用Kcil软件来进行编译,在生成HEX文件装入芯片中,在通过调试实现60s计时功能。本设计中系统硬件电路主要是由以下几个部分组成:单片机AT89C51、振荡电路、显示电路和按键开关。该系统具有60s内准确计时和计时清零的功能。 关键字:单片机,计时,显示,60s计时,复位清零

前言 我们的任务是设计60s秒表计时器,用AT89C51单片机的定时/计数器T0产生一秒的定 时时间,作为秒计数时间,当一秒产生时,秒计数加1,秒计数到60时,自动从0开始,实现0到60秒的循环显示的功能。 现代计时器是用数字集成电路做成的现代计时器,与传统的机械钟相比,走时准确、显示直观(有荧光七段数码显示器)、无机械传动装置等优点。而且钟表的数字化给人 们生产生活带来了极大的方便。广泛用于个人家庭,车站,码头、办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英振荡器的广泛 应用,使得数字计时表的精度远远超过老式钟表,钟表的数字化给人们生产生活带来 了极大地方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、校时自 动打铃、时间程序自动控制、定是广播、自动启闭路灯、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字计时器及扩大其应用有着非常现实的意义。 一.概述 1.1课程设计的任务与目的 课程设计任务: 用AT89S51单片机的定时/计数器T0产生一秒的定时时间,作为秒计数时间,一秒产生时,秒计数加1,秒计数到60时,自动从0开始。额外拓展,一 个按键,实现从0开始重新计时。 课程设计目的: 课程设计是单片机课程教学的最后一个环节,是对学生进行全面的系统的 训练,进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步 学习的热情,因此课程设计是必不可少的,是非常必要的。 课程设计是提高学生单片机技术应用能力以及文字总结能力的综合训练环节,是配合单片机课程内容掌握应用得的专门性实践类课程,通过典型实际问题的 实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统 设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。 通过课程设计,使自己深刻理解并掌握基本概念,掌握单片机的基本应用程序设计及综合应用程序设计的方法,通过做一个综合性训练题目,达到对内容 的消化、理解并提高解决问题的能力的目的。 1.2、总体方案设计

相关文档
最新文档