广工数字电子时钟课程设计报告

广工数字电子时钟课程设计报告
广工数字电子时钟课程设计报告

课程设计

课程名称数字电子技术课程设计

题目名称功能数字钟的电路设计

学生学院物理与光电工程学院

专业班级电子科学与技术

14级4班

学号 ___________

学生姓名 ______

目录

1.设计题目:功能数字钟的电路设计 (3)

2.设计任务和要求 (3)

2.1设计任务与要求 (3)

3.原理电路和程序设计 (3)

3.1方案比较 (4)

3.1.1 振荡器方案比较 (4)

3.1.2 计数器方案比较 (5)

3.1.3 译码器方案比较 (5)

3.1.4 整点报时电路方案比较 (6)

3.2单元电路设计 (6)

3.2.1 时钟源单元电路设计 (6)

3.2.2 计数器单元电路设计 (6)

3.2.3译码单元电路设计 (9)

3.2.4 校时单元电路设计 (10)

3.2.5 整点报时单元电路设计 (11)

3.2.6 闹钟功能单元电路设计 (12)

4. 电路和程序调试过程与结果 (13)

4.1 仿真调试过程与结果 (13)

4.2 电路调试过程与结果 (14)

5. 总结 (15)

5.1 设计的优点和不足 (15)

5.2 改进方案 (15)

5.3 心得体会 (15)

6. 附件 (16)

6.1 元件清单 (16)

6.2实物图 (17)

6.3 电路总图(不包括闹钟电路) (17)

6.4 IC芯片引脚图 (18)

6.5 参考文献 (19)

1设计题目:功能数字钟的电路设计

数字钟是采用数字电路实现“时”,“分”,“秒”数字显示的计时装置。钟表的数字化在提高报时精度的同时,也大大扩展了它的功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯等。因此,研究数字钟及扩大其应用,有着非常现实的意义。

2.设计任务和要求

2.1设计任务和要求

1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。

2)具有校准时、分的功能。

3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。

选做:

4)闹钟功能,可按设定的时间闹时。

2.2 设计目的

1) 掌握数字钟的设计、组装与调试方法。

2)熟悉集成电路的使用方法

3.原理电路和程序设计

数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。通过校时电路对秒和分进行校准,当分向时进位时,报时电路发出整点报时声。数字钟的整机逻辑框图如下:

3.1方案比较

3.1.1 振荡器方案比较

在本设计中,振荡器是计时器的核心,主要用来产生时间标准信号(也叫时基信号)。数字钟的精确主要取决于时间标准信号的频率及稳定度。时钟源(秒脉冲信号)可由555组成的多谐振荡器构成,如图3.1.1所示。经过参数计算可将振荡器做成频率为1Hz的振荡信号作为时钟源,但此方法精度和稳定性均达不到设计要求,所以不用此方案作为信号源。

图3.1.1

石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而使机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限制时才达到最后稳定。

一般说来,这种机械振动的振幅较小,振动频率则是很稳定的。但当外加交变电压的频率与晶片固有频率相等时,机械振动的幅度将急剧增加,这种现象称为压电谐振,所以称为石英晶体振荡器。它的品质因数Q处于高达10 000~500 000的范围内。其他元件和杂参数对振荡频率的影响极微,故频率稳定度高。利用此点我们将32768的频率通过4060分频得到时间间隔是两秒,在经过D触发器分频将其频率变为1Hz。

石英晶体振荡器的方案精度高,稳定性好,达到了设计要求,故采用此方案。

3.1.2 计数器方案比较

在本设计中,只要是十进制或十进制以上的计数器都可以做为秒、分、时计数器。一般使用十进制计数器较简单,常用的计数器有CD4518、74LS90。本设计使用学习中较为熟悉的74LS90作为计数器。74LS90计数器是一种中规模二—五—十进制加法计数器,可以构成任意进制的计数器。

3.1.3 译码器方案比较

本设计需要用7段LED数码管来显示时间,因此需要用到BCD码七段译码驱动器。此类译码驱动器型号有74LS47(共阳)、74LS48(共阴)、4511(共阴)

等驱动器来驱动共阴LED数码管。本设计使用共阴数码管,所以相应使用4511七位共阴译码器。

3.1.4 整点报时电路方案比较

该功能可以通过两种方案实现。一种可以在当分秒显示为00:00的时候用四输入的与非门接入由555定时器构成的单稳态电路中。由于仅有分秒输出此时全为低电平则输出为高电平,平时输出为低电平择可以触发单稳态电路,使其工作。另一种是直接从有分钟向时钟进位的信号端口,即从与非门接出来,直接作为555定时器构成的单稳态电路的2端口。由于第二种方案接法比较简单。所以选择第二种。

3.2单元电路设计

3.2.1 时钟源单元电路设计

如图3.1所示,时钟源由石英晶体振荡器与CD4060构成。将32768的频率通过CD4060分频后变为2Hz,再经过D触发器进行再次分频(2分频),最终得到稳定的1Hz时钟源。其原理和计算过程是,4060作为14位加法计数器,将32768Hz分频为2Hz(32768/2e15=2),再经D触发器二分频为1Hz的信号。但是后来实物出来得到的频率较快,所以改用了直接用555定时器触发,通过修改参数设置了较为稳定的频率。

如图3.1

3.2.2 计数器单元电路设计

74LS90计数器功能很强,利用脉冲反馈法,适当改变连线、配合门电路就

可以灵活构成任意进制的计数器。本设计需要60进制和24进制的计数器,计数器容量皆为两位数,所以只需要两片74LS90即可构成。以下为74LS90的芯片资料。

真值表:

Reset Inputs 复位输入 输出

R0(1) R0(2) R9(1) R9(2) QD QC QB QA H H L X L L L L H H X L L L L L X X H H H L

L

H

X L X L COUNT COUNT COUNT COUNT

L X L X L X X L X

L

L

X

H=高电平 L=低电平 ×=不定

BCD 计数顺序(注1) Count

输出

QD QC QB QA

0 L L L L 1 L L L H 2 L L H L 3 L L H H 4 L H L L 5 L H L H 6 L H H L 7 L H H H 8 H L L L 9 H L L H

进制计数顺序(注2)

Count

输出

QA QD QC QB

0 L L L L

1 L L L H

2 L L H L

3 L L H H

4 L H L L

5 H L L L

6 H L L H

7 H L H L

8 H L H H

9 H H L L

注1:对于BCD(十进)计数,输出QA 连到输入B 计数

注2:对于5-2 进制计数,输出QD 连到输入A 计数

图3.2.1 74LS90引脚图

按照下图的接法,用两个74LS90芯片分别构成60进制和24进制计数器。

图3.2.2 60进制计数器

图3.2.3 24进制计数器

60进制计数器作为秒计时模块和分计时模块,而24进制计数器则作为时计时模块。

3.2.3译码单元电路设计

译码部分使用CD4511芯片作为七段共阴数码管的译码芯片,引脚图如图3.2.4

4511功能介绍:

A、B、C、D——BCD码输入端。

QA、QB、QC、QD、QE、QF、QG——译码输出端。

LT——测试输入端,LT =1时,译码输出全为1。

BI——消隐输入端,BI=1时,译码输出全为0。

LE——锁定端,LE=1时,译码器处于锁定(保持)状态,译码器输出保持在LE=0时的数值。当LE=0,LT=0,BI=0时为正常译码。

CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。而限流电阻要根据电源电压来选取,本设计电源电压5V时使用300Ω的限流电阻。单元电路如图3.2.5所示。

图3.2.4 图3.2.5

3.2.4 校时单元电路设计

作为一个时钟,要实现其功能,必不可少的就校时电路。要在时钟计时功能上加上校时功能,对“分”、“小时”进行调整,对校时电路的要求是:在小时校正时不影响分、秒的正常计时,在分校正时不影响秒和小时的正常计时。图中给出给出一种“时”,“分”校正电路,其中J1时校正开关,J2为分校正开关,其控制功能如图所示。校时脉冲采用秒脉冲。因为校时电路由组合电路组成,有可能产生抖动现象,电容C1与C2可以消除部分抖动。电路如图3.2.6所示。

图3.2.6

其中J1为分校正开关,J2为时校正开关。考虑到实际校时问题,校时脉冲采用2Hz脉冲。

其中开关J1和J2的功能表如下:

J1 J2 功能

1 1 计数

1 0 校时

0 1 校分

3.2.5 整点报时单元电路设计

设计任务要求整点报时为响一秒,所以需要用单稳态触发器构成延时电路,设计如图3.2.7所示。

图3.2.7

其中555定时器连接成单稳态触发器,延迟时间Tw 可以通过R1与C2 来控制。Tw=1.1RC,所以R1为91kΩ,C2为10μF,Tw约为1s。当有进位脉冲时,555构成的单稳态触发器延迟1s,蜂鸣器鸣叫1s。

3.2.6 闹钟功能单元电路设计

由于使用数字IC芯片作为逻辑控制,所以闹钟功能只能预先设定好指定时间,不然则难以连线。本设计采用14:59作为闹钟预设时间,即到达14:59后闹钟响起,15:00闹钟停止。逻辑电路图如图3.2.8

图3.2.8

当0输入端为0,1输入端为1时,74LS08输出为1,蜂鸣器报警,8个输入端,只要有一个输入端不符合要求,74LS08输出为0,蜂鸣器不报警。

所以本设计闹钟连接如下表:

1 4 5 9

0 0 0 1 0 100 0 1 0 11 0 0 1

Qd Qc Qb Qa Qd Qc Qb Qa Qd Qc Qb Qa Qd Qc Qb Qa 以上特殊输出端接到闹钟电路相应输入端即可实现预设时间的闹钟功能。

4. 电路和程序调试过程与结果

4.1 仿真调试过程与结果

本设计在mutisim12中进行仿真,在仿真过程中发现该仿真软件不能对晶振分频电路进行仿真,所以采用555定时器作为时钟源进行仿真。仿真软件中555定时器构成的时钟源电路工作稳定,但精度不够,时钟周期t=991.453ms,其波形图如图4.1.1所示。这也说明本设计中应该使用晶振作为时钟源。译码显示模块中,如图所示,数码管显示正常,并无乱码,计数功能正常,进位功能正常,而且校时电路、整点报时电路、闹钟电路皆正常。

图4.1.1

图4.1.2

4.2 电路调试过程与结果

本次电路调试的步骤是:

(1)在设计原理出来之后,合理地安排每一块单元电路的位置。

(2)逐个单元电路进行调试。

在调试秒脉冲信号发生电路、调试报时电路时,仿真,先按照设计图把电路连接起来,再观察示波器的波形。

。在调试校准电路时,每按一次动触开关,示波器上产生一个波形,视为工作正常。

焊接好电路后,发现6个数码管中5个出现乱码,参考那个唯一没有乱码,发现乱码的数码管并不是译码电路的接法问题,而是焊接短路和断路的问题,所以把乱码的数码管重新焊接就没有出现乱码。在调试过程中,晶振电路并不稳定,由于555定时器构成的时钟源比较稳定,所以使用555定时器构成时钟源作为试验时钟源,把整体电路调试好再外接晶振电路,最后整体电路排除故障,调试正常。

5.1 设计的优点和不足

本设计的优点是:

1)经过前期的排版,所以即使是使用万能板也只有少量跳线,尽量做到了电路排版规整,美观,减少跳线。

2)使用晶振作为时钟源,使得电路的时钟脉冲非常精确。

3)本设计完成了所有设计要求。

本设计的缺点是:

1)设计时缺乏创新,都是使用自身比较熟悉的数字IC芯片,比如74LS90,CD4511,没有采取别的数字IC芯片。

2)本设计有一些地方不够人性化,比如闹钟功能和整点报时功能都没有设置关闭按钮,闹钟功能并不能自己设置时间。

3)本设计并没有置零按钮,所以每次启动,数码管都是显示8。

4)数码管偶尔显示不稳定。

5.2 改进方案

在以后的方案中,尝试加入其他的设计创新,比如考虑加入其他的数字IC 芯片,可以加入闹钟和整点报时的关闭按钮,置零按钮,使得设计更加人性化;会采用PCB板,学会调试,减少焊接时间和焊接错误率,提高准确率,节约成本。

5.3 心得体会

课程设计是为了让我们能够将平时学习的理论知识运用到实际操作中去,将理论和操作教学相结合,提高分析和解决问题的能力,从而使自己的综合能力得到提高。

通过这次的课程设计,让我了解了设计电路的步骤,和设计数字钟的原理。第一步要根据设计要求,思考原理和设计方案;第二步根据设计方案设计各个电路的子模块,计算每一个模块的参数和要使用的元件;第三步将所有模块结合起来进行仿真。在整个仿真过程必须仔细认真,而且要有足够的耐心,需要不厌其烦的进行仿真和修改,直到结果正确。

每一次的课程设计对我们来说都是一次难得的锻炼机会,让我们能够充分运用所学的知识,学会如何快速有效的查找相关资料,以及学会自己处理分析电路,设计电路的能力,所以我们应该更加珍惜这样的机会。

虽然完成这个课程设计花费了我许多时间和精力,但是收获也是成正比的,它也让我学会了许多东西,而且在这次课程设计中,同学们给了我许多帮助,让我更热切的了解到团结合作的重要性。在大家的交流中,我们能了解他人的想法从而完善自我,所以我要感谢这次课程中帮助过我的同学。

6.1 元件清单

元件类型数量型号备注

数字IC芯片

6 CMOS_5V, 4511BP_5V 译码器

1 CMOS_5V, 4060BD_5V 分频器

1 CMOS_5V, 4013BD_5V D型触发器

6 74LS, 74LS90D 计数器

5 74LS, 74LS00D

1 74LS, 74LS08D

1 74LS, 74LS21D

1 TIMER, LM555CM 555定时器

电阻

42 300Ω

2 3.3kΩ

1 180KΩ

1 220KΩ

1 15MΩ

电容

1 33pf

2 10nf

1 10uf

1 100nf

其它

6 5101as七段数码管

2 BUZZER, BUZZER 1kHz 蜂鸣器

1 CRYSTAL, R145-32.768kHz 32.768KHz晶振

2 DIPSW1 开关

6.3 电路总图

6.4 IC芯片引脚图

CD4511 CD4060

CD4013 74LS00

74LS90 74LS08

74LS21 NE555

5101as七段数码管

6.5 参考文献

[1]. 阎石.数字电子技术基础(第五版)[M].高等教育出版社,2006.5.

[2] 彭瑞.电工与电子技术实验教程 [M].武汉大学出版社,2011.6.

数字电子钟课程设计

摘要 在生活中的各种场合经常要用到电子钟,现代电子技术的飞跃发展,各类智能化产品相应而出,数字电路具有电路简单、可靠性高、成本低等优点,本设计就以数字电路为核心设计智能电子钟。 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和、报时、整体清零等附加功能。干电路系统由秒信号发生器、时、分、秒计数器,译码器及显示器,校时电路,整体清零电路,整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。计数器用的是74LS90。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过六位LED 七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。整体清零电路是根据74LS90计数器在2,3脚均为1时清零的特点用电源,开关和逻辑门组成的清零电路对“时”、“分”、“秒”显示数字清零。校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的 关键词分频计数译码报时清零校时校分触发逻辑

目录 引言 1 设计目的............................................................ . (5) 2 设计任务 (5) 2.1设计指标 (5) 2.2设计要求 (5) 2.3方案的对比 (6) 3数字电子钟的组成 (6) 3.1数字钟的基本逻辑功能框图 (6) 3.2秒信号发生器(振荡器及分频电路) (7) 3.3时、分、秒计数器电路 (8) 3.4译码显示电路 (8) 3.4校时电路 (8) 3.6正点报时电路 (8) 3.7清零电路 (8) 4.数字钟的电路设计 (8) 4.1 秒信号发生器的设计 (8) 4.2计数电路的设计 (10) 4.2.1六十进制计数器 (10) 4.2.2 二十四进制计数器 (11) 4.2.3计数器的组间级联问题 (12) 4.3译码显示电路 (13) 4.4校时电路的设计 (13) 4.5正点报时电路的设计 (13) 4.6清零电路的设计 (15) 4.7数字电子钟的整体电路 (15) 4.7设计、调试要点 (15) 5元器件 (16) 5.1实验元器件清单 (16)

单片机电子时钟课程设计报告报告

目录 1、引言 (3) 2、总体设计 (4) 3、详细设计 (5) 3.1硬件设计 (5) 3.2软件设计 (10) 4、实验结果分析 (26) 5、心得体会 (27) 6、参考文献 (27)

摘要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面,而51单片机是各单片机中最为典型和最有代表性的一种。这次毕业设计通过对它的学习、应用,以AT89S51芯片为核心,辅以必要的电路,设计了一个简易的电子时钟,它由4.5V直流电源供电,通过数码管能够准确显示时间,调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机AT89C51

1.引言 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路装化等几个方面发展。下面是单片机的主要发展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命。

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

基于单片机的电子时钟课程设计报告

目录 一、引言········ 二、设计课题········· 三、系统总体方案········· 四、系统硬件设计······ 1.硬件电路原理图 2.元件清单 五、系统软件设计········· 1.软件流程图 2.程序清单 六、系统实物图········ 七、课程设计体会········ 八、参考文献及网站········· 九、附录·········

一.引言 单片机因将其主要组成部分集成在一个芯片上而得名,就是把中央处理器、随机存储器、只读存储器、中断系统、定时器/计数器以及I/O接口电路等部件集成在一个芯片上。 基于单片机设计的数字钟精确度较高,因为在程序的执行过程中,任何指令都不影响定时器的正常计数,即便程序很长也不会影响中断的时间。 数字钟是采用数字电路实现对日期、时、分、秒,数字显示的计时装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表的报时功能。数字钟已成为人们日常生活中的必需品,广泛应用于家庭、车站、码头、剧院、办公室等场所,给人们的生活、学习、工作带来极大的方便。不仅如此,在现代化的进程中,也离不开电子钟的相关功能和原理,比如机械手的控制、家务的自动化、定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。而且是控制的核心部分。因此,研究数字钟及扩大其应用,有着非常现实的意义。 本设计使用12MHZ晶振与单片机AT89C51相连接,以AT89C51芯片为核心,采用动态扫描方式显示,通过使用该单片机,加之在显示电路部分使用HD74LS373驱动电路,实现在8个LED数码管上显示时间,通过4个按键进行调时、复位等功能,在实现各功能时数码管进行相应显示。软件部分用C语言实现,分为显示、延迟、调时、复位等部分。通过软硬件结合达到最终目的。

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

数字电子技术课程设计,数字钟的设计

武汉理工大学《数字电子技术》课程设计说明书 目录 1绪论-----------------------------------------------------------------------------------------1 2设计方案概述-------------------------------------------------------------------------2 2.1系统设计思路与总体方案---------------------------------------------------------------2 2.2总体工作过程------------------------------------------------------------------------------2 2.3各功能块的划分和组成------------------------------------------------------------------3 3单元电路设计与分析--------------------------------------------------------------3 3.1秒信号的发生电路------------------------------------------------------------------------3 3.2时、分、秒计数电路---------------------------------------------------------------------4 3.2.1秒部分-----------------------------------------------------------------------------------5 3.2.2分部分-----------------------------------------------------------------------------------5 3.2.3时部分-----------------------------------------------------------------------------------6 3.3校正时、分电路---------------------------------------------------------------------------7 3.3.1校分电路--------------------------------------------------------------------------------7 3.3.2校时电路--------------------------------------------------------------------------------8 3.4整点报时电路------------------------------------------------------------------------------8 3.5闹钟功能电路------------------------------------------------------------------------------9 5电路的调试与仿真-----------------------------------------------------------------9 4总体电路原理图---------------------------------------------------------------------11 6元器件清单-----------------------------------------------------------------------------12 7设计体会及心得---------------------------------------------------------------------12 参考文献------------------------------------------------------------------------------------14

数字钟课程设计

数字逻辑电路课程设计 课题:数字钟 姓名:刘亮 班级:通信2班 学号:21 成绩: 指导教师:查根龙 开课时间: 2014-2015学年第2学期

摘要 (1) ABSTRACT (2) 第1章设计背景 (3) 1.1设计任务 (3) 1.2设计要求 (3) 1.3 设计目的 (3) 第2章课程设计方案 (4) 2.1 数字钟的基本组成和工作原理 (4) 2.2 振荡电路 (5) 2.3 分频电路 (6) 2.4时分秒计数电路 (7) 2.5 校时校分功能 (10) 2.6整点报时电路 (10) 2.7上下午显示电路 (11) 第三章课程总结 (12) 第四章参考文献 (13) 第五章附件 (14) 5.1 电路原理图 (14) 5.2 元器件清单 (14)

摘要 电子钟在现代社会已经使用的非常广泛,伴随着数字电路技术的发展,数字钟的出现,更加方便了大家的生活,同时也大大地促进了社会的进步。数字电路具有电路简单、可靠性高、成本低等优点,本设计就以数字电路为核心设计智能电子钟。 数字钟就是由电子电路构成的计时器。是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和、报时、上下午显示等附加功能。主电路系统由秒信号发生器、时、分、秒计数器,译码器及显示器,校时电路,上下午显示,整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24和12小时的累计。计数器用的是74160。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过六位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的 关键词:计时器;计数;译码;报时;校时校分

数电课程设计数字电子钟说明书

数字电子技术电路课程设计题目:数字钟课程设计 学院:XXXXX 专业:XXXXX 班级:XXXX 姓名:XXXX 学号:XXXXX 指导老师:XXXXX

一、设计目的 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 二、设计要求 1.显示时,分,秒,用24小时制 2.能够进行校时,可以对数字钟进行调时间 3.能够正点报时(用555产生断续音频信号); 三、设计方案比较 方案一、采用中小规模集成电路实现 采用集成逻辑电路设计具有能实现,时、分、秒计时功能和定点报时功能,计时模块采用时钟信号触发,不需要程序控制。 方案二:EDA技术实现 采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展 方案三、单片机编程实现 此方案采用单片机编程来设计和控制。 综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,同时符合此次数子电子知识设计的要求。 四、设计过程和说明 1.数字电子钟计时和显示功能的实现 (1)采用两片十进制计数器74LS160N扩展连接,设计60进制的计数器,显示0到59,在59时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到59。(图)

(2)24进制亦采用两片十进制计数器74LS160N扩展连接,设计24进制的计数器,显示0到23,在23时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到23(图)

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

数字电子课程设计数字钟

数字电路课程设计报告 目录 一、………设计课题 二、………设计任务 三、………设计要求 四、………分析及设计过程 五、………组装及调试过程 六、………参考文献(各芯片功能) 七、………设计心得及总结

一、设计课题 多功能数字钟电路设计. 二、设计任务 1给定的主要器件: 芯片数量芯片数量555 1 74ls191 1 74ls90 2 74ls74 1 74ls92 1 74ls00 2 74ls47 4 2实验原理图:

三、数字钟的功能要求 ①基本功能 以数字形式显示时、分、秒的时间,为节省器件,其中秒的个位可以用发光二极管指示,小时的十位亦可以用发光二极管指示,灯亮为“1”,灯灭为“0”。小时计数器的计时要求为“12翻1”。要求手动快速校时、校分或慢校时、慢校分。②扩展功能定时控制,其时间自定;仿广播电台整点报时;触摸报整点时数或自动报整点时数。 2、设计步骤与要求:①拟定数字钟电路的组成框图,要求设计优化,电路功能多,器件少,成本低。②设计并安装各单元电路,要求布线整齐、美观,便于级联与调试。③测试数字钟系统的逻辑功能,使满足设计功能的要求。④画出数字钟系统的整机逻辑电路图。⑤写出课程设计实验报告。 四、设计分析于过程 本课题是数字电路中计数、分频、译码、显示及时钟振荡器等组合逻辑电路与时序逻辑电路的综合应用。通过学习,要求掌握多功能数字钟电路的设计方法、装调技术及数字钟的扩展应用。 1、数字钟的功能要求(1)基本功能:①准确计时,以数字形式显示时、分、秒的时间;②小时的计时要求为“12翻1”,分和秒的计时要求为60进位;③校正时间。(2)扩展功能①定时控制;②仿广播电台整点报时; ③报整点时数;④触摸报整点时数。 2、数字钟电路系统的组成框图

数字电子时钟课程设计总结报告

《数字逻辑电路设计》课程设计 总结报告 题目:数字电子钟设计 指导教师: 设计人员: (学号): 班级:

日期:2018年12月

一.设计任务书 任务:数字电子钟设计 基本设计要求:仿真实现数字电子钟 1.要求能显示“时”“分”“秒” 2.时24小时,分60分钟,秒60。 3.能够校时,校分 电路在实验箱上实现 二.设计框图及整机概述 设计框图: 概述:数字电子时钟电路系统由秒信号发生器、校分校时电路、“时、分、秒”计数器和“时、分、秒”显示器组成。秒信号发生器将秒信号送入秒计时器,秒计时器为六十进制计数器,每计六十个数便发送分脉冲信号给分计数器,分计数器也为六十进制计数器,每计六十个数便发送时脉冲信号给时计数器,时计数器是二十四进制计数器。“时、分、秒”显示器将计数器输

出的状态显示出来。 三.各单元电路的设计方案及原理说明 1.六十进制计数器 计数器是对cp脉冲进行计数的时序逻辑电路。“分”和“秒” 的计数由六十进制计数器实现,74LS161为16进制计数器, 两片74LS161EP和ET恒为1,均工作在计数状态,当分个位 和秒个位计数器计到9(1001)时,CLOR端为高电平,经反 相器后使时位CLK端为低电平。当下一个计数输入脉冲到达后,个位记成0(0000),此时CLOR端跳回低电平,时位计数1。 计数器从0开始计数,当计入60个脉冲时,经与非门产生低 电平,立即将两片74LS161同时置零,得到60进制计数器。 2.二十四进制计数器 时的计数由二十四进制计数器实现,当计入24个脉冲的

时候,经与非门产生的低电平信号即将两片74LS161同时置零,得到二十四进制计数器。 3.显示电路 计数器输出的是8421BCD码,需译码器将其转为阿拉伯数字。 4.校时电路 利用校时电路截断分十位和时十位的直接计数通路,当校时电路中的开关截断时,其中的与非门一端接高电平,另一端接秒/分十位的进位输出端,若秒/分十位的进位输出端输出的是低电平,则分/时个位的CLK有低电平的信号输入,此时得到

电子时钟课程设计_数电课程设计数字电子时钟的实现

电子时钟课程设计_数电课程设计数字电子 时钟的实现 课程设计报告设计题目:数字电子时钟的设计与实现班级: 学号: 姓名: 指导教师: 设计时间: 摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。通过仿真过程也进一步学会了Multisim 7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输 出方式灵活,如可以随意设置时、分、秒的输出,定点报时。由于集 成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、 性能稳定、维护方便等优点。 关键词:数字钟,组合逻辑电路,时序电路,集成电路目 录摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1设计任务 (4) 2.2设计要求 (4) 第3章系统设计 (6) 3.1方案论证 (6) 3.2系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1 单元电路工作原理 (8) 3.3.2 元件参数选择···································14 第 4章软件仿真 (15) 4.1仿真电路图 (15) 4.2仿真过程 (16)

单片机电子时钟课程设计设计报告

单片机电子时钟设计 一、作品功能介绍 该作品是个性化电子钟设计,技术上主要用单片机(AT89S52)主控,6位LED数码显示,分别显示“小时:分钟:秒”。该作品主要用于24小时计时显示,能整时报时,能作为秒表使用,能定时闹铃1分钟。 功能介绍: (1)上电以后自动进入计时状态,起始于00:00:00。 (2)设计键盘调整时间,完成时间设计,并设置闹钟。 (3)定时时间为1/100秒,可采用定时器实现。 (4)采用LED数码管显示,时、分,秒采用数字显示。 (5)采用24小时制,具有方便的时间调校功能。 (6)具有时钟和秒表的切换功能。 使用方法: 开机后时钟在00:00:00起开始计时。 (1)长按P3.2进入调分状态:分单元闪烁,按P3.2加1,按P3.3减1.再长按P3.2进入时调整状态,时单元闪烁,加减调整同调分.按长按退出调整状态。 (2)(2)按P3.3进入设定闹时状态: 12:00: ,可进行分设定,按P3.4分加1,再按P3.2为时调整,按P3.4时加1,按P3.3调闹钟结束.在闹铃时可按P3.2停闹,不按闹铃1分钟。 (3)按下P3.4进入秒表状态:再按P3.4秒表又启动,按P3.4暂停,再按P3.4秒表清零,按P3.4退出秒表回到时钟状态。 二、电路原理图 如原理图所示,硬件系统主要由单片机最小应用系统、LED数码管显示模块、电源模块、晶振模块、按键模块等组成。

电子时钟原理图 各个模块设计 1.单片机系统 AT89S52 AT89S52概述:是一款非常适合单片机初学者学习的单片机, 它完全兼容传统的8051,8031的指令系统,他的运行速度 要比8051快最高支持达33MHz的晶体震荡器,在此系统中 使用12MHz的晶振。 AT89S52具有以下标准功能: 8k字节Flash,256字节 RAM,32 位I/O 口线,看门狗定时器,2 个数据指针,三 个16 位定时器/计数器,一个6向量2级中断结构,全双 工串行口,片内晶振及时钟电路。另外,AT89S52 可降至 0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模 式下,CPU停止工作,允许RAM、定时器/计数器、串口、中 断继续工作。掉电保护方式下,RAM内容被保存,振荡器被

数字时钟课程设计

1 绪论 1.1 课题背景及目的 在日常生活和工作中,我们常常用到定时控制,如扩印过程中的曝光定时等。早期常用的一些时间控制单元都使用模拟电路设计制作的,其定时准确性和重复精度都不是很理想,现在基本上都是基于数字技术的新一代产品,随着数字集成电路性能价格比的不断提高,新一代产品的应用也越来越广泛,大可构成复杂的工业过程控制系统,完成复杂的控制功能。小则可以用于家电控制,甚至可以用于儿童电子玩具。它功能强大,体积小,质量轻,灵活好用,配以适当的接口芯片,可以构造各种各样、功能各异的微电子产品。 随着电子技术的飞速发展,家用电器和办公电子设备逐渐增多,不同的设备都有自己的控制器,使用起来很不方便。根据这种实际情况,设计了一个单片机多功能定时系统,它可以避免多种控制器的混淆,利用一个控制器对多路电器进行控制,同时又可以进行时钟校准和定点打铃。它可以执行不同的时间表(考试时间和日常作息时间)的打铃,可以任意设置时间。这种具有人们所需要的智能化特性的产品减轻了人的劳动,扩大了数字化的范围,为家庭数字化提供了可能。 1.2数字时钟的应用 数字电子钟具有走时准确,一钟多用等特点,在生活中已经得到广泛的应用。虽然现在市场上已有现成的电子钟集成电路芯片出售,价格便宜、使用也方便,但是人们对电子产品的应用要求越来越高,数字钟不但可以显示当前的时间,而且可以显示日期、农历、以及星期等,给人们的生活带来了方便。另外数字钟还具备秒表和闹钟的功能,且闹钟铃声可自选,使一款电子钟具备了多媒体的色彩。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。电子钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及车站、码头、剧院、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展

电子钟课程设计报告

《数字电子技术》课程设计报告 题目:数字钟 学号: 授课班级: 学生: 指导教师: 完成时间: 职业技术学院信息工程系 应用电子技术教研室

摘要: 报告围绕此次数字钟的设计进行介绍、总结,包含了设计的步骤,前期的准备,装配的过程。考虑数字钟电路的基本构造后,在进行实装之前先用EWB软件进行了仿真,在实装时,采用了74HC90芯片进行计数,用晶体振荡器及D触发器产生秒脉冲,还要考虑电路的清零,每块芯片各设计为几进制,最后实现了数字钟设计所要求的各项功能:时钟显示功能;小时高位零熄灭功能;整点报时功能;快速校准时间的功能。 关键字:数字钟、报时、74HC161、校准 Abstract The designing of the digital clock on the report were introduced and summarized, including design steps, the preparation, assembly process. Considering the basic structure of the digital clock circuit, we use EWB simulation software before assembling. In the assembly, adopted 74HC90 count chips and using crystal oscillator and D flip-flop produced seconds pulse. Otherwise, the reset of the circuit and each chip designed for which system should be considered. Finally realized the digital clock design requirements of various functions: The clock display function; Hour zero extinguished function; Give the correct time on time function; Rapid calibration time functions. KEYWORDS: Digital Clock、Give the Correct Time、74HC90、Calibration

数字时钟课程设计

数字电路课程设计 多 功 能 数 字 时 钟 专业班级:15电子1班 学号:201550110124 设计人:吕浩杰 指导教师:许春香 设计时间:2016年6月6日

内容摘要 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于机械震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装臵。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED 数码管显示时、分、秒,以24小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习和掌握各种组合逻辑电路和时序电路的原理和使用方法。

数字电子钟课程设计报告

数字电子钟课程设 计报告

行业研究报告传媒行业 投资建议:增持 7月14日 数字电视传输运营行业分析 内容提要: 本文主要分析了数字电视中传输运营行业。国外数字电视的发展经验预示着中国的数字电视运营行业的高成长性。今后几年,数字电视行业也会成为政府的重点扶持行业之一。可是当前上市公司中数字电视传输业务在主营业务中占突出比例的上市公司不多,许多公司主营业务不清晰,导致运营业的利润对公司的贡献不大。同时数字电视的发展在当前的状况下,还处于雏形阶段,盈利模式并未成熟,今年以来数字电视运营行业高于大盘近20%涨幅有一定的泡沫因素存在。 报告结构 ◆宏观政策分析 ◆从国外数字电视发展状况看中国数字电视的发展 ◆数据电视运营业务分析 ◆市场前景分析 ◆数据电视传输运营的上市公司营业状况分析

◆数据电视传输运营的上市公司财务分析◆电视传输行业近期走势分析

数字电视生产包括了四个环节,电视节目制作数字化,电视播出的数字化,传输数字化和用户接收的数字化。围绕这四个环节形成了包括媒体、制造、高科技等多种行业交叉的生产链。数字电视传输是这几个环节最为稳定的一个。因为相对其它环节,数字电视传输能够在现有的有线电视网络上改造,技术难度不大,而且数字传输完全能够继承原有的有线电视客户,只要根据节目的变化和技术革新,在营销策略灵活应对就能较快的适应数字电视时代的变化。 宏观政策分析 中国已经把数字电视产业化项目列入国家”十五”计划的十二项重点项目中。因此近些年政府会积极扶持数字电视发展 政策扶持的时间表和地域性 根据<广播影视科技”十五”计划和远景规划>,广电总局近日制定了<中国有线电视向数字化过渡时间表>,按年份分、、、四个阶段。时间表的特色就是最初以直辖市和包括东、福建、江苏、浙江、山东在内的东部城市密集辐射点逐步推广到全国包括广大西部地区。根据广电总局的<建立有线数字电视技术新体系的实施意见> 选定北京等33个城市为电视数字化最先试点。经济发达直辖市和东部地区将会最先受益于数字电视的推广。

EDA数字时钟课程设计

课程设计报告 学生姓名学号 班级 专业电子信息工程 题目数字时钟设计 指导教师 2011 年11 月

一、任务和设计要求 1. 熟悉集成电路的引脚安排。 2. 掌握各芯片的逻辑功能及使用方法。 3. 了解数字钟的组成及工作原理。 4. 熟悉数字钟的设计与制作。 1.设计指标 (1)时间以24 小时为一个周期; (2)显示时、分、秒; (3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; (4)计时过程具有报时功能,当时间到达整点前 5 秒进行蜂鸣报时。 2.设计要求 (1)画出电路原理图(或仿真电路图); (2)元器件及参数选择; (3)电路仿真与调试 二、设计原理 设计思路 根据系统设计要求,系统设计采用自顶向下设计方法,由时钟分频部分、计时部分、按键部分调时部分和显示部分五个部分组成。这些模块都放在一个顶层文件中。 1)时钟计数: 首先下载程序进行复位清零操作,电子钟从00:00:00计时开始。sethour可以调整时钟的小时部分, setmin可以调整分钟,步进为1。 由于电子钟的最小计时单位是1s,因此提供给系统的内部的时钟频率应该大于1Hz,这里取100Hz。CLK端连接外部10Hz的时钟输入信号clk。对clk进行计数,当clk=10时,秒加1,当秒加到60时,分加1;当分加到60时,时加1;当时加到24时,全部清0,从新计时。 用6位数码管分别显示“时”、“分”、“秒”,通过OUTPUT( 6 DOWNTO 0 )上的信号来点亮指定的LED七段显示数码管。 2)时间设置: 手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 3)清零功能: reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。 总体结构图

数字电子钟课程设计

河南理工大学电子技术课程设计 数 字 电 子 钟

姓名:*** 学号:********* 班级:********** 摘要 本课程设计的主题是数字电子钟。该电路系统由秒信号发生器、“时、分、秒”计数器、显示器组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24 进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器进行译码,通过六个LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。 本作品的主要设计目的是熟练使用555定时器构成多谐振荡器的方法,掌握使用74LS161构成60进制计数器的方法以及使用74LS160构成24进制计数器的方法,理解在实际的设计电路中电压电流关系对整个电路功能的实现所具有的重要性。 关键词:数字电子钟;555定时器;60进制计数器;24进制计数器;共阴极七段显

示译码器;

目录 综述- 1 - 第一章方案设计与选择- 2 - 第二章原理设计和功能描述.................................................................................... - 3 - 2.1数字计时器的设计思想- 3 - 2.2数字电子钟总体框架图- 4 - 2.3单元电路的设计- 4 - 2.3.1数字电子钟原理图- 4 - 2.3.2多谐振荡器电路- 5 - 2.3.3时间计数器电路....................................................................................... - 8 - 2.3.4显示器- 9 - 第三章数字电子钟仿真- 9 - 3.1 仿真效果- 9 - 3.2 结果分析- 10 - 第四章心得体会- 10 - 第五章参考文献- 12 - 附录一:元件清单- 1 - 附录二:数字电子钟完整电路图- 1 -

相关文档
最新文档