模拟停车场管理系统的设计

模拟停车场管理系统的设计
模拟停车场管理系统的设计

模拟停车场管理系统的设计

辽东学院信息技术学院

《可编程逻辑器件原理及应用》教学实习报告模拟停车场管理系统的设计

学生姓名:

学号: 0915110606

班级: B1106

专业:电子信息工程

指导教师:

2014年07月

【摘要】

随着人民生活水平的提高,我国汽车保有量不断提升,私家车的数量越来越多,在生活小区、办公场所、商场、旅游景点等地方,停车难问题也日益突出。解决停车难问题,除了加大基础设施投入(扩建停车场)外,有效地对现有的停车场进行合理分配、调度与管理,也是行之有效的措施,因而建设配套的停车场管理系统,对停车位进行有序地

管理十分必要。目前,无论在生活小区或是在写字楼宇的建设规划中,均已对停车位的规划配备

进行了充分考虑,停车场已成为人们生活服务的

必备场所之一,停车场的数目增多,对停车场管理系统产生了强大的市场需求。基于上述两点需求,本文设计了一套停车场管理系统,从地感线圈、道闸、吐卡机、主控板到微机管理系统,全套软硬件系统实现了对场内车位有序地分配与调度。本文将从整体方案、硬件设计、软件设计、安装实施等方面进行全面地介绍该系统。同时,本套系统在比较市场同类产品的基础上,独到地将停车管理

中的日常处理从上位机(微机)移至下位机(主控

板),降低了整个系统对微机的依赖,显出了自己的竞争优势。

【关键词】:停车场、管理系统、无线射频卡

【Abstract】

With the improvement of people's living standard, car ownership in China is rising, more and more people own private cars, in the living quarters, offices, shopping malls, tourist attractions and other places, parking difficult issues are also increasingly prominent. To solve the parking problem, in addition to increase the investment of infrastructure (expansion of parking lot), effectively carries on the rational distribution, the existing parking lot scheduling and management, is the effective measure, parking management system and construction, the parking spaces for orderly management is very necessary. At present, no matter in the living quarters and office building planning, have parking space with the planning for a full account, parking has become one of the necessary place living services, the number of parking lots more, produced a strong market demand for parking management system. Based on the above two demands, this paper designs a set of parking management system, induction coil, main control gate, temple card machines, plate to the microcomputer management system from the ground, a full set of hardware and software system of the parking spaces and orderly allocation and scheduling. This paper from the overall system design, hardware design, software design, installation and other aspects of the implementation of a comprehensive introduction to the system. At the same time, the system based on the comparison of similar products on the market, will be unique to the daily management of parking from the host computer (PC) to the next machine (main control board), reduce the entire system to rely on the computer, showing its competitive advantage.

Keywords:The parking lot, management system, radio frequency card

目录

绪论 (1)

1系统工作原理 (2)

1.1 基本原理 (2)

2 设计 (2)

2.1系统结构设计及分析 (2)

2.2分频模块 (4)

2.3消抖模块 (4)

2.4车牌显示模块 (5)

2.5 计时模块 (5)

2.6 费率器模块 (6)

2.7滚动模块 (6)

2.8译码模块 (7)

3 使用说明 (8)

4 结论 (8)

参考文献 (10)

附录A 源程序 (11)

附录B 系统原理图 (21)

绪论

近年来,随着中国经济的快速增长和国民收入的不断提高,汽车拥有量也日益增多,汽车已经逐步走进千家万户,使很多城市出现了“停车难”问题。为了满足日益增长的停车需求,大型、超大型停车场不断涌现,传统停车场管理系统已不能满足实际需求。存在的问题突出表现在:现有的停车场管理系统要求车辆在出入停车场时须停车验卡,车辆进出极其缓慢,经常造成上、下班堵车现象,不仅浪费时间,能源浪费也非常严重;随着停车场中车辆数量的增加,管理工作量和难度都成倍加大,传统停车场管理系统在安全性和效率方面暴露出严重的缺陷。因此,对现有的停车场管理系统进行升级更新,是停车场建设中的当务之急。本文针对上述实际问题,通过研究开发射频识别技术和红外通信技术,设计实现了一种远距离智能识别的停车场管理系统,以解决传统停车管理系统存在的问题。本设计基于射频芯片,设计了无线阅读器和无线智能电子标签通信系统,解决了远距离自动识别车辆信息的问题;所设计的车辆无线阅读识别系统与后台计算机管理系统相联结,便形成了一个功能强大和系统完善的远距离智能车辆识别系统。系统可以实现远距离车辆信息自动识别,实现车辆自动安全检测管理和收费等功能;系统还具有图像比对校验,车辆数据网络自动更新等新功能。远距离智能识别停车场管理系统将新颖的生活理念和建筑艺术、信息技术、计算机技术等现代高科技完美结合,提供的是一种操作简单、使用方便、功能先进的人性化系统。

1系统工作原理

1.1 基本原理

当一辆车进入停车场,按键A被按下:计时器开始计时,同时把该车的车牌号和费率送入数码管显示(3个数码管显示拨码开关对应的十进制数:采用BCD 码转换的方法;费率显示采用输入设置,可以通过按键+1的方式设置从1~99的费率)。当车驶出停车场时,按键B被按下,此时计时器停止计时,并把计时时间送入数码管显示(2位小时数、两位分钟数),同时显示车牌号信息及停车费用(三位显示:停车费用=停车时间×费率)。由于数码管位数不够采用滚动显示的方式。

2 设计

2.1系统结构设计及分析

本系统主要包括以下七个模块:分频模块fpq,车牌BCD转换模块chepai,消抖模块xiaodou,计时模块jishi,费率模块feilvqi,滚动模块gundong,译码器模块ymq。先通过VHDL文本生成这些模块,再在顶层文件中调用这些模块。

系统的主程序流程图如图1所示。

图1

2.2分频模块

该模块对实验箱上的50MHz时钟进行分频,分成所需要的几种不同频率的时钟。分频的原理为:对50MHz时钟每来一个上升沿计一次数,当计数到一定值的时候,计数值清零并且让输出电平取反,根据计数值的不同可以得到不同的输出频率。在本设计中分频出0.1HZ,1HZ,100Hz,200Hz,500Hz的频率,以供不同的需要。

图2

2.3消抖模块

作为机械开关的键盘,在按键操作时,机械触点的弹性及电压突跳等原因,在触点闭合和开启瞬间会出现电压的抖动。为保证按键识别的准确性,在按键电压信号抖动的情况下不能进行状态输入。为此必须进行去抖动处理,消除抖动部分的电压信号,一般有硬件和软件两种方法。硬件就是加去抖动电路,这样可以从根本上解决按键抖动问题。软件消抖就是利用软件延时消抖,具体说就是当检测到高电平(有按键按下),1kHz脉冲来一个高电平计数值加1,一遇到低电平计数值清零,当计数值大于10时,说明按键是被真的按下,消除了抖动。本系统采用软件消抖。

图3

2.4车牌显示模块

用8位拨码开关表示车号,拨码开关对应的8位二进制通过BCD 转换为12位BCD码。

图4

2.5 计时模块

当A键按下时,计时器开始计时,B键按下时,计时器停止计时,clk为计时脉冲,来一个上升沿,计数器加1,reset为总复位信号。

key[7..0]

chep[11..0] chepai

inst

2.6 费率器模块

初值为0,当费率在0-99范围内时,费率按键每按一次,费率加1。

图7

2.7滚动模块

滚动显示模块,当C=1时不滚动,但当C=0时滚动显示车牌、停车时间、及停车中费用。y[3..0]输出到译码器,sel 为位选信号。

图8

reset

anjian

f eilv [7..0]

f eilv qi

inst3A B

data[15..0]chep[11..0]price[11..0]f eilv [7..0]clk_200Hz clk_1Hz

sel[7..0]y [3..0]

gundong

inst4

2.8译码模块

该模块将将输入的二进制信号译成相应的七段共阳极数码管的编码。数据输入data[3..0],译码输出led7s[6..0]。

YMQ

IN4[3..0]DOUT7[6..0]

inst8

图9

3 使用说明

按键说明:

A按键:为开始按钮,即当车辆进入停车场时,按下A开始计时,并显示进入车辆的车牌号及收费费率。B按键:为停止按钮,即当车辆驶出停车场时,按下B按钮,停止计时,并滚动显示,车牌号、停车时间及停车费用。费率按键:为费率设置按钮,每按一次,费率加1。Reset按钮为总复位按钮。clk为系统时钟,绑定到实验箱上的50MHz的时钟上,led7s[6..0]为数码管段选控制信号,sel[7..0]为8个数码管的位选控制信号。

测试方法:首先按下复位键,然后设置八位拨码开关的值(车牌号),此时,数码管显示三位车牌号信息和费率,按下费率按键可以设置费率的值(每按一次加1,范围0~99),过一段时间,按下B键,此时8位数码管会滚动显示,三位车牌号,四位停车时间和三位停车费。按下复位键,可重新测试。

4 结论

模拟停车场管理系统,虽然在速度上我没能赶上大部分同学,但是经过在之前将近一个月的前期准备和一周的集中设计让我体会到了很多的东西。

虽然在查找资料中找到了一个相似的程序,但是还是有很多的错误。后来,进过与同课题的同学的一起分析和改进终于还是完成了可用的程序。

在这场战斗中我有很大的收获,首先我采用层次化结构化设计,将此项设计任务分成若干模块,规定每一模块的功能和各模块之间的接口,然后

再将各模块联合起来调试,加深了我们对层次化设计的概念的认识。在设计的过程中,虽然遇到了很多困难,但是通过向老师和同学请教以及自己不断摸索、测试,一个个难题迎刃而解。从而提高了我独立发现问题、分析问题、解决问题的能力,其次,我也明白了一个道理,任何一件事情,只有亲自去做的时候才知道它到底难不难,有多难。我们就像小马过河中的小马,需要自己多去尝试。

参考文献

[1].《EDA技术实用教程》潘松,黄继业.. 北京:科学出版社,2006

[2].《VHDL设计实例与仿真》姜雪松,吴钰淳,王鹰等.. 北京:机械工业出版社,2007

[3]. 《基于Quartus Ⅱ的FPGA/CPLD设计》李洪伟,袁斯华..北京:电子工业出版社,2006

附录A 源程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fpq is

port(clk:in std_logic;

FP500HZout,FP200HZout,FP100HZout,FP1HZout,FP01HZout:out std_logic);

end entity;

architecture one of fpq is

signal clkjs1:std_logic;

signal clkjs2:std_logic;

signal clkjs3:std_logic;

signal clkjs4:std_logic;

signal clkjs5:std_logic;

signal cnq1:integer range 5000000 downto 0;

signal cnq2:integer range 5000000 downto 0;

signal cnq3:integer range 5000000 downto 0;

signal cnq4:integer range 5000000 downto 0;

signal cnq5:integer range 50000000 downto 0;

begin

mk1: process(clk)

begin

if clk'event and clk='1' then

if cnq5<=2500000 then

cnq5<=cnq5+1;

else

cnq5<=0;

clkjs5<=not(clkjs5);

end if;

end if;

FP01HZout<=clkjs5;

end process;

mk2:process(clk)

begin

if clk'event and clk='1' then if cnq1<=500 then

cnq1<=cnq1+1;

else

cnq1<=0;

clkjs1<=not(clkjs1);

end if;

end if;

FP500HZout<=clkjs1;

end process;

mk3:process(clk)

begin

if clk'event and clk='1' then if cnq2<=12500000 then

cnq2<=cnq2+1;

cnq2<=cnq2+1;

else

cnq2<=0;

clkjs2<=not(clkjs2);

end if;

end if;

FP200Hzout<=clkjs2;

end process;

mk4:process(clk)

begin

if clk'event and clk='1' then if cnq3<2500 then

cnq3<=cnq3+1;

else

cnq3<=0;

clkjs3<=not(clkjs3);

end if;

end if;

FP100HZout<=clkjs3;

end process;

mk5:process(clk)

begin

if clk'event and clk='1'then if cnq4<250000000 then

cnq4<=cnq4+1;

else

cnq4<=0;

clkjs4<=not(clkjs4);

end if;

end if;

FP1HZout<=clkjs4;

end process;

end;

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity xiaodou is

port(

clk:in std_logic;

din:in std_logic;

dout:out std_logic

);

end xiaodou;

architecture arc of xiaodou is

begin

process(clk,din)

variable temp:integer;

begin

if clk'event and clk='1' then

if (din='0') then

temp:=0;

end if;

if temp>15 then

dout<='1';

else

dout<='0';

end if;

temp:=temp+1;

end if;

end process;

end arc;

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity chepai is

port(--clk : in std_logic;--时钟输入

key : in std_logic_vector(7 downto 0);--键入

-- l : out std_logic_vector (7 downto 0);--输出数码管位选 chep : out std_logic_vector (11 downto 0)--数码管段码);

END chepai ;

architecture an of chepai is

signal clock : std_logic;--分频后时钟

signal p : integer range 0 to 255;

signal b0,b1,b2 : integer range 0 to 9;--显示数位寄存器,b0表示个位,signal cnt : integer range 0 to 3:=0;--数码管位选扫描程序

begin

p<=conv_integer(key);--数据输入

process(p)--显示查表进程

functionb_to_s4(bcd8421:integer 0 tO 9)return std_logic_vector is--BCD std_logic_vector

variable smg4: std_logic_vecto (3 downto 0);

begin

case bcd8421 IS --计算输出值 WHEN 0 => smg4:="0000";--0 --gfedcba 共阳WHEN 1 => smg4:="0001";--1

WHEN 2 => smg4:="0010";--2

WHEN 3 => smg4:="0011";--3

WHEN 4 => smg4:="0100";--4

WHEN 5 => smg4:="0101";--5

WHEN 6 => smg4:="0110";--6

WHEN 7 => smg4:="0111";--7

WHEN 8 => smg4:="1000";--8

WHEN 9 => smg4:="1001";--9

when others=>null;

END CASE;

RETURN smg4;

END b_to_s4;

begin

case p is

when

0|10|20|30|40|50|60|70|80|90|100|110|120|130|140|150|160|170

|180|190|200|210|220|230|240|250=>b0<=0;

when 1|11|21|31|41|51|61|71|81|91|101|111|121|131|141|151|161|171

|181|191|201|211|221|231|241|251=>b0<=1;

when 2|12|22|32|42|52|62|72|82|92|102|112|122|132|142|152|162|172

|182|192|202|212|222|232|242|252=>b0<=2;

When 3|13|23|33|43|53|63|73|83|93|103|113|123|133|143|153|163|173

|183|193|203|213|223|233|243|253=>b0<=3;

When

4|14|24|34|44|54|64|74|84|94|104|114|124|134|144|154|164|174

|184|194|204|214|224|234|244|254=>b0<=4;

When

5|15|25|35|45|55|65|75|85|95|105|115|125|135|145|155|165|175

|185|195|205|215|225|235|245|255=>b0<=5;

When

智能停车场系统发展趋势

现如今,国内的停车事业有了很大的发展,智能停车场管理系统在车辆出入小区,商场,办公大楼等地方受到人们热烈欢迎,不仅能在根源上解决停车难的问题,更是改善了人们生活。那么未来的发展趋势又是怎样的呢? 1、停车场实现联网共享数据,打破信息孤岛,建设智慧停车物联网平台,实现停车诱导、车位预定、电子自助付费、快速出入等功能。 2、停车诱导、车位引导和反向寻车系统快速普及,目前在建的停车场越来越大,动辄几千个车位以上,这样大的停车场如果没有引导和寻车系统而靠人员疏导的话,对管理人员和客户都是一个灾难。 3、无人化服务逐渐普及,由于我国的劳动力成本快速上升,过去靠人海战术管理停车场的方法越来越不行了,参照国外的发展经验,停车场的自动化程度将越来越高,管理人员逐渐减少,直至实现无人化服务。 4、手机实现车位预定、支付、寻车等功能,智能手机和移动互联网这两年快速普及,移动互联网用户已经超过了固定互联网用户,利用手机订餐、购买电影票、下载优惠券、交友等已经普及,所以停车场中的这

些应用将快速普及。 5、立体车库增多,我国城市人口多、密度大,土地成本高,参照日本等国的经验,立体车库具有占地少、利用率高、进出方便等优势,将会快速发展。 智能停车场系统哪里好?江西联颂科技有限公司,是一家专业从事智能安防系统以及相关弱电系统的专业工程公司,具备独立承担智能、安防及相关弱电系统工程的方案设计、工程实施、售后保障的能力,业务包含视频监控系统、防盗报警系统、门禁考勤系统、停车场管理系统、楼宇对讲系统、视频会议系统、公共广播系统、大屏显示系统、综合布线系统等等。在全省各地的公安系统、银行系统、石化系统、住宅小区、学校、幼儿园、商场、企事业单位承接了众多工程,公司专业的设计、严谨的施工、完善的售后服务已在广大用户中建立了良好信誉,深受广大用户的认可。

停车场管理系统设计

面向对象程序设计(C++课程大作业 设计题目:停车场管理系统设计 院系:计算机科学与信息工程学院专业班级: 学号姓名: 指导教师:

目录 一、成员分工 (1) 二、需求分析 (2) 三、总体设计 (3) 四、详细设计 (6) 五、系统测试 (17) 六、总结 (20) 七、参考文献 (21)

成员分工 我们小组成员共有三名,分别是,为了能按时圆满的完成这次 VC++课程设计,我们小组进行了详细的分工,以确保设计能按时完成。经过周密的考虑和详细的调查最终确定该停车场管理系统需要以下 几个功能模块: (1)需求分析 (2)界面的设计 (3)添加功能 (4)显示功能 (5)查询功能 (6)编辑功能 (7)删除功能 (8)统计功能 (9)保存功能 (10)读取功能 经过小组成员的讨论,并根据个人的特长和具体爱好做如下具体分工 神 1 具体完成以下模块的设计与实现: (1 )需求分析 (2 )界面的设计 (3 )添加功能 保存功能 (4 ) 神 2 具体完成以下模块的设计与实现: (1)显示功能 (2)查询功能 显示功能 (3) 神 3 主要具体完成以下模块的设计与实现: (1)编辑功能 (2)删除功能 (3)读取功能

二需求分析 1. 问题描述 定义车辆类,属性有车牌号、颜色、车型(小汽车、小卡、中卡和大卡)、至U达的时间和离开的时间等信息和相关的对属性做操作的行为。定义一个管理类,完成对停车场的管理。停车场的具体 要求:设停车场是一个可停放n辆汽车的狭长通道,且只有一个大门可供汽车进出。汽车在停车场 内按车辆到达时间的先后顺序,依次由北向南排列(大门在最南端,最先到达的第一辆车停放在车场的最北端),若车场内已停满n辆汽车,则后来的汽车只能在门外的便道上等待,一旦有车开走, 则排在便道上的第一辆车即可开入;每辆停放在车场的车在它离开停车场时必须按它停留的时间长短交纳费用。 2. 基本要求 (1)添加功能:程序能够添加到达停车场的车辆信息,要求车辆的车牌号要唯一, 如果添加了重复编号的记录时,则提示数据添加重复并取消添加。 (2)查询功能:可根据车牌号、车型等信息对已添加的停车场中的车辆信息进行查询,如果未找到,给出相应的提示信息,如果找到,则显示相应的记录信息; (3)显 示功能:可显示当前系统中所有车辆的信息,每条记录占据一行。(4) 编辑功能:可根据查询结果对相应的记录进行修改,修改时注意车牌号的唯一性。 (5 )删除功能:主要实现对已添加的车辆记录进行删除。如果当前系统中没有相应的人员记录,贝U提示“记录为空!”并返回操作。 (6)统计功能:能统计停车场中车辆的总数、按车型、按到达时间进行统计等。 (7 )保存功能:可将当前系统中各类人员记录和休假记录存入文件中,存入方式任意。 (8)读取功能:可将保存在文件中的信息读入到当前系统中,供用户进行使用。 3 .系统运行环境 (1)硬件环境。联想双核处理器, 2G内存,2G独立显卡,80G硬盘。 (2) 软件环境。Microsoft Visual C++6 ?0,WindosXP 系统。

停车场管理系统方案

目录 第一节停车场管理系统施工方案 (2) 5.停车场管理系统施工方案 (2) 第二节停车场管理系统技术方案 (6) 1.总体设计标准和依据 (6) 2.总体设计原则及目标 (6) 3.系统功能特点 (6) 4.系统构成介绍 (9)

第一节停车场管理系统施工方案 5.停车场管理系统施工方案 5.1施工准备 1)人员 项目工程师 1名:负责工程调配、进度及技术指导。 专业施工人员 2名:负责工程具体工作。 安全与质量监督员 1名:负责工程质量控制及安全文明施工。 2)工具 路面切割机 1台:在混凝土路面上切割地感线圈槽。 布线布管工具 1套。 专业接线调试工具 1套:主要包括压线钳、万用表、电阻计、电脑等。 3)施工现场环境 AC220V 2000W临时电源(100米范围内)。 自来水源(200米范围内)。 良好的通风条件。 无杂物堆放的施工表面。 5.2 切割并制作地感线圈 车辆检测器的地感线圈是停车场管理系统中的重要零件,它的工作稳定性直接影响整个系统的运行效果,因此地感线圈的制作是工程安装过程中很重要的一个工作环节。制作地感线圈前要考虑以下几点: (1)周围50公分范围内不能有大量的金属,如井盖、雨水沟盖板等。 (2)周围1米范围内不能有超过220V的供电线路。 (3)制作多个线圈时,线圈与线圈之间的距离要大于2米,否则会互相干扰。 1)切割地感线圈槽 按照图纸在路面上规画好地感线圈尺寸的线条,用路面切割机按线条切割方形的线圈槽,要求: 停车场中地感线圈大小一般为1.8米宽、1.2米长左右,转角处切割10公分X10公分的倒角,防止坚硬的混凝土直角割伤线圈。 槽的深度为4公分,线圈槽宽度为0.5公分,线圈引线槽的宽度为1公分,深度和宽度要均匀一致,应尽量避免忽深忽浅、忽宽忽窄的情况。 切割完毕的槽内不能有杂物,尤其不能有硬物,要先用水冲洗干净,然后烘干。 地感线圈的引线槽要切割至安全岛的范围内,避免引线裸露在路面。

停车场管理系统测试报告

停车场管理系统测试分析报告 08软件工程(2) 20081344082 张伟东

1引言 1.1编写目的 随着时代的发展,私家车越来越多,而车位却十分紧张。在市区内有很多空间没有被充分利用,大多车辆是停在路边或者简易停车场,缺乏管理,这样导致了资源的浪费,也造成了街道的拥堵。为了适应社会的发展,大量的现代化大规模的停车场会被投入使用,但管理方面又容易出现问题。因此,停车场管理系统的开发和应用是十分必要的。 1.2项目背景 开发软件名称:停车场管理系统 项目开发者:某软件开发小组 用户单位:某公司 大体框架: 智能停车场收费管理系统 门禁管理系统 智能通道管理系统 闭路监视系统(CCTV) 消防安全系统(FA)和保安系统(SA) 1.3定义 一级错误:不能完全满足系统要求,基本功能未完全实现 二级错误:严重地影响系统要求或基本功能的实现,且没有更正办法(重新安装或重新启动该软件不属于更正办法)。 三级错误:严重地影响系统要求或基本功能的实现,但存在合理的更正办法(重新安装或重新启动该软件不属于更正办法)。 四级错误:使操作者不方便或遇到麻烦,但它不影响执行工作功能或重要功能。 五级错误:其他错误。 回测:产生测试错误或缺陷的测试项由软件开发人员进行修改调试正确后,由软件测试人员再次进行的针对该测试项及其相关项的测试。 1.4参考资料 钱乐秋等,《软件工程》,青还大学出版社;

张害藩,《软件工程导论》(第四版),清华大学出版社; 王珊等,《数据库原理及设计》,清华大学出版社; 2测试计划执行情况 2.1项目名称 项目中文简称:停车场管理系统 2.2测试项目 2.3测试方案 采用黑盒测试方法,整个过程采用自底向上,逐个集成的办法,一次进行单元测试,组装测试,测试用例的设计应包括合理的何不合理的输入条件。 2.4测试结果 3软件需求测试结论

智能停车场管理系统优势与发展趋势

智能停车场管理系统优势与发展趋势 1智能停车场管理系统五大优势 近年来随着国民经济不断发展,我国私家车的数量猛增,几乎所有的大都市无一例外地车满为患,要找停车位更是一位难求,这促使国内智能化停车场管理产业开始升温。智能停车场行业的价值凸显使得在未来会有越来越多的企业加入,竞争不可避免,很多企业都将传统的停车场系统稍加包装就冠以“智能停车场”的名头,误导了业主的思路,也引起了很多不必要的误解。 智能停车场管理系统五大优势 1、针对目前的人工现金收费方式不仅劳动强度大、效率低,而且很容易在财务上造成很大的漏洞和现金流失等缺点,智能停车场管理系统通过对技术进行改进,采用IC卡收费管理系统,因收费都经电脑确认、统计与记录,避免了失误和作弊等现象,能有效地保障车场投资者的利益。 2、防伪性能高,IC卡具有极高的保密性,从根本上保证了停车场管理系统的可信度与可行性。 3、高度安全稳健的管理,采用电脑收费管理系统后,因各种类型的卡在电脑中都有相关资料的详细记录;月租卡和储值卡丢失后可以及时补办;时租卡丢失也可随时检索,及时处理。且很多系统配有图像对比功能。 4、目前采用的无源的非接触式IC卡具有可靠的耐用性。 5、非接触式IC卡技术领先。 这些优势都为智能停车场管理系统在我国的飞速发展奠定了基础,车辆管理系统会向着更开放与更灵活的方向发展。中国的智能停车场市场发展也会跟着国外的发展走,但发展速度会比国外快。 智能停车场管理系统发展趋势分析 传统的停车场管理系统只解决了出入口控制的问题,对于停车场内部的停车引导、找车、快速进出等功能则鞭长莫及,而且在收费这个环节上也存在缴费方式单一、人工管理效率低下、存在收费漏洞等问题,更别说进行停车场整体的系统整合及资源优化配置了。 全视频智慧停车场综合解决方案在集成停车场系统资源方面有着卓越的优

数据结构课程设计停车场管理系统

实验二停车场管理 班级:A0712 学号:12 姓名:冷清淼成绩:__________ 指导教师签名:__________ 一、问题描述 设停车场是一个可停放n辆车的狭长通道,且只有一个大门可供汽车进出。在停车场内,汽车按到达的先后次序,由北向南依次排列(假设大门在最南端)。若停车场内已停满n辆车,则后来的汽车需在门外的便道上等候,当有车开走时,便道上的第一辆车即可开入。当停车场内某辆车要离开时,在它之后进入的车辆必须先退出停车场为它让路,待该辆车开出大门后,其他车辆再按原次序返回车场。每辆车离开停车场时,应按其停留时间的长短交费(在便道上停留的时间不收费)。 设计要求: 1.模拟上述管理过程。要求以顺序栈模拟停车场,以链队列模拟便道。 2.从终端读入汽车到达或离去的数据,每组数据包括三项: (1)是“到达”还是“离开”; (2)汽车牌照号码; (3)“到达”或“离开”的时刻。 3.与每组输入信息相应的输出信息为:如果是到达的车辆,则输出其在停车场中或便道上的位置;如果是离去的车辆,则输出其在停车场中停留的时间和应交的费用。 二、算法说明 1.数据结构说明 (1)用到两个堆栈:一个为车场栈;另一个为临时栈temp typedef struct NODE{ CarNode *stack[MAX+1]; int top; }SeqStackCar; /*模拟车场*/ (2)一个队列结构,存储便道车辆信息:

typedef struct Node{ QueueNode *head; QueueNode *rear; }LinkQueueCar; /*模拟便道*/ 2.算法说明 (1) 功能模块说明:停车场管理系统含有三个模块,即:车辆到达、离开、列表显示 停车场系统车辆到达 车辆离开列表显示 3 2 1 图1 (2)以模块为单位分析算法 1、“到达”模块:到达时有两种情况,即车场是否满,未满则直接进入停车场;满时,到便道等待。如图2。 车辆到达 停车场是否满 结束 进入停车场 进入便道 是 否 图2

停车场管理系统分析设计报告

《停车场管理系统分析设计报告》 专业:信息管理与信息系统 班级:信息06乙 学号: 姓名: 2009年06月 1.1项目背景 (1) 1.2现有系统存在的问题 (1) 1.3系统目标 (2) 1.4系统可行性研究 (2) 1.5系统实施计划 (2) 第2章系统分析 (3) 2.1业务流程分析 (3) 2.2功能分析 (3) 2.3 数据分析 (4) 第3章系统设计 (4) 3.1 模块结构图 (4) 3.2 代码设计 (4) 3.3 数据库设计 (5) 3.4 输出设计 (5) 3.5 输入设计 (5) 第4章系统实现 (6) 4.1 主控模块 (6) 4.2 信息录入模块 (8) 4.3 信息查询模块 (10) 第五章系统评价 (12)

第一章前言 1.1项目背景 智能停车场管理系统的发展背景:目前中国已经成为世界第三大汽车生产国和第二大汽车消费国,据权威部门数据表明,截至2006年底,中国汽车保有量突破3500万辆,私人汽车拥有量已达2925万辆,其中4年以内的新车占到百分之六十。预计2010年国内汽车保有量将达到5600万辆左右,而且每年汽车增长率都以50%-80%的速度增长,这就导致了50%的汽车无序停放现象,智能停车已经成为推动城市发展不可或缺的一部分,但随之而来的,车多位少、停车难的问题也日渐突出,成为当前社会普遍的关注点。 随着社会的进步和发展,人们的生活方式发生着深刻的变化。城市的交通拥挤便是这种变化引起的现象之一。城市由于交通设施的增加造成的交通拥挤甚至混乱给人们的生活带来极大的不便,这种不便迫使人们去寻找高技术的有效手段去解决这种不便。 智能化的停车场就是顺应这一时代需求的高技术产物。这不仅可以有效地解决交通拥堵,使车辆迅速通过。而且可以促进交通设施的正规化建设,同时也尽可能地减少车主失车被盗的忧虑。另外,在技术方面,其高技术性匹配于现有其他智能化系统,具有很好的开放性,易于与其他智能化系统组合成更强大的综合系统,顺应各种综合方式的高级管理。 近几年来,我国停车场自动管理技术已逐渐走向成熟,停车场管理系统向大型化、复杂化和高科技化方向发展,已经成为智能建筑的重要组成部分,并作为楼宇自控系统的一个子系统与计算机网络相联,使远距离的管理人员可以监视和控制停车场。建筑学生联盟。 智能停车场管理系统采用先进技术和高度自动化的机电设备,并结合用户在停车场收费管理方面的需求,以及交通管理方面的经验而开发的系统。该系统提供了一种高效率的管理方式,为用户提供更方便、更有效的服务。 智能停车场管理系统将机械、电子计算机和自控设备以及智能IC卡技术有机地结合起来,通过电脑管理可实现车辆出入管理、自动存储数据等功能,实现脱机运行并提供—种高效管理服务的系统。 新型的智能停车场将生活理念和建筑艺术、信息技术、计算机电子技术等现代高科技完美结合,提供的是一种操作简单、使用方便、功能先进的人性化系统。它依靠高科技,以人为本,采用图形人机界面操作方式,具有操作简单、使用方便、功能先进等优点,提供一种更加安全、舒适、方便、快捷和开放的智能化、信息化生活空间,促进了人文环境的健康发展。车场使用者可以在最短的时间进入或离开停车场,以提高车库管理质量,取得高的经济效益和良好的社会效益。 1.2现有系统存在的问题 (1)人工现金收费方式,一方面是劳动强度大、效率低,另一方面是在财务上造成很大的漏洞和现金流失、乱收费、管理成本高等弊端。 (2)停车场没有明码标价

停车场模拟管理系统报告(附源代码)

实训报告 专业: 班级: 学号: 姓名: 课设题目:停车场模拟管理系统指导教师:

目录 一、需求分析 (1) 二、总体设计 (1) 2.1系统功能概述 (1) 三、到达停车场准备进入停车场 (2) 3.1进入停车场函数 (2)

四、离开停车场 (3) 五、详细设计 (5) 5.1函数的调用关系 (5) 5.2主要算法的流程图 (6) 六、软件说明: (7) 6.1使用环境:Visual C++ 6.0. (7) 操作要求:程序运行后,用户根据所要进行的操作选择是进入停车场还是离开停车场并输入车牌号和时间 (7) 6.2测试图: (7) 七、总结 (9) 附录:程序代码 (9)

一、需求分析 停车场模拟管理系统现在很多的大型超市等都有智能的停车场当你进入停车场门口就会自动的显示里面还有多少的空位并且指引你走到空的车位停下避免了把车辆开进去并且找不到空的车位和因为车子在停车场内乱走而导致想出来的车没有足够的时间出来。导致空间和时间各种不必要的麻烦所以急需我们做一个停车场管理系统。我们的停车场模拟管理系统有以下方面功能: 1 记录进入停车场的车辆的车牌号从而进入后可以知道其所停的停车位。 2 车子离开停车场根据离开时间和进入时间从而计算出所需要交的费用。 3 如果队列已经满了可以让要进入停车场的车子停在旁边的等候队列。 二、总体设计 2.1系统功能概述 (1) 如果选择进入停车场就要判断停车场是否已经满了,如果未满直接进

入,如果满了的话就直接排在旁边的便道上等待有车子离开停车场从而进入停车场。 (2)同时改程序还设立多了一个位置以便与有车进入同时有车离开可以停留在这里等候车子离开再进入。(以防止车子停车场内的车未能离开进入的车又正在进入从而导致停车场堵塞的情况) (3)离开的时候根据离开的车牌号从而把它从停车场中的位置移开并且通过离开时间和进入停车场的时间来计算出该车子所需要交纳的费用。 如果等候队列不为空的话进入停 2.11 总体设计图 三、到达停车场准备进入停车场 void parkingmanagement::arrival(carstack &cs,carqueue &cq,int cnum,double ctime)

基于WEB的停车场管理系统设计和实现

2009 届毕业论文(设计) 论文(设计)题目基于WEB的停车场管理系统的 设计和实现 院系名称计算机科学与技术系 专业(班级)计算机科学与技术 2005级本科1班 (学号)段景辉(0510101005) 指导教师正茂 系负责人袁暋 二O O九年五月三十日

摘要 随着现代社会的快速发展,人民生活水平快速提高,汽车的数量飞速增加,与此同时停车问题也越来越受到人们的关注,为了实现对停车场进行有效的管理,结合一些停车场的模式和现状开发出本停车场管理系统。 停车场管理系统是典型的信息管理系统,本停车场管理系统设计的主要功能包括场内车辆管理和后台数据库管理,其中场内车辆管理又分为出入场管理模块、收费模块、用户管理模块、停车场参数、停车场数据管理模块、系统管理模块等。我们从系统的设计和功能实现方面阐述了管理系统软件的开发过程。 系统采用了B/S架构,Tomcat6.0作为运行服务器,基于J2EE标准、JSP技术利用Hibernate3.1和Struts1.2框架作为开发工具,MyEclipse6.0开发环境,数据库采用Microsoft SQL Server 2000。开发过程利用MVC开发模式,层次分明。成功实现了该系统。试运行结果表明,该系统具有良好的性能和扩展性,较高的响应速度和效率,该系统符合实际的停车场管理系统,为停车场管理供一个快速,简单规范的管理平台。这对提高信息化程度,降低人力成本有着重要的意义。 关键词:停车场管理系统,J2EE,Hibernate3.1,Struts1.2

Abstract With the rapid development of modern society, people's living standards improve and the number of vehicles increases rapidly. People pay more attention to parking problems at the same time. In order to manage the parking lots more efficiently, we have developed a Parking Management System, with some modes and the actuality of present parking lots considered. Parking Management System is typically one of the information management systems. The main functions in the Parking Management System include vehicle management and background database management, and vehicle management is divided into the passing in and out module, the charging module, the user management module, the parking parameters,parking data management module, and the system management module. We discussed the management systems development process from the system design and function of the realization in the Papers. T omcat6.0 as a running server is used in this system on the basis of B/S structure. Based on J2EE standards, JSP technology as development tools which is taken advantage of Hibernate3.1 and Struts1.2 framework and MyEclipse6.0 as development environment are applied in the system. Microsoft SQL Server 2000 is used as background database. We develop the use of MVC pattern in development process. T est results show that the system has good performance and scalability, high response speed and efficiency which is in line with the actual park management system and can provide a fast, simple and standardized management platform. It is important to improve the information level and reduce labor costs. Key words: p arking management system,J2EE, Hibernate3.x, Struts1.2

停车场管理系统的功能概述

停车场管理系统的功能概述: 主要分为:无卡停车(进场记录车牌信息、出场通过对应车牌、泊位号、选定车牌)、刷卡停车(进场刷卡计录时间、出场刷卡结算费用)两种模式; 小票凭证,可以根据具体需要定制小票的显示项目,标准显示停车场名称、操作员姓名编号、车牌信息、进车时间、出车时间、占位时间、应收金额、服务监督电话; 配件齐全,配精美皮套,对机器具有保护作用,方便捷带;方便灵活的收费规则设置,可直接管理员的身份登录进入手持POS机,在手持POS机里面直接更改; 支持直接通讯工具导出EXCEL报表功能;无须安装任何软件;软件支持在线更新功能;软件更新永远免费;有特殊需求,需要更改程序,无需快递或者上门即可更新; 数据上传快捷,保存有几十万条的车辆记录信息,只要1两秒就能上传完毕; 数据加密保护版权功能,得不到管理员授权,无法读取数据;系统实现卡片授权、发卡、充值、退卡、换卡、注销、挂失、解挂、信息修改、数据修复等功能; 系统报表统计包括:开卡明细记录、退卡明细记录、注销卡明细记录、挂失卡明细记录、押金明细记录、押金汇总记录、卡片现金充值记录、卡片充值赠送记录、卡片充值次数记录、卡片充值汇总记录、车辆消费登记、车辆消费汇总、营业额

汇总、终端机消费明细记录、终端机消费汇总; 各分类报表可按年、月、日、时段等分别查询统计; 可以连接终端并下载参数、下载更新黑名单、实时采集数据、设置系统报表的权限管理等; 系统卡片丢失,可以立即挂失,卡片挂失后,该卡立即作废,补做新卡时可补回旧卡中的金额到新卡; 操作员凭合法财务卡进入系统进行操作,每一笔交易都有明细的记录,包括:姓名、卡号、交易车牌号、交易流水号、消费金额、卡中余额、交易地点、交易终端号、交易日期、交易时间等详细记录,并且每条记录有校验密码防止非法删除或改写交易记录。 停车场管理系统消费模式 手持式停车场收费系统整体的分为无卡和刷卡两大消费模式,主要以计时收费为主。 分时段收费:通过“参数设置”设置消费时段,必须按照一天从00:00:00到23:59:59的顺序依次设置时段,而且时段必须连续,不能有间隔. 分卡类收费:系统允许设置多种不同的卡类,可以根据卡类设定不同的消费。 限额功能:可按时段、按天、按月限额,当用户在某一时间段内消费的金额超过了限额消费的金额,手持机将拒绝消

国内智能停车场市场分析与发展趋势

国智能停车场市场分析及发展趋势 国停车场的现状 近年来,在国私家车迅速增长的情形下,一些大型城市面临“车多位少”的困境,迫使很多车主把车直接停在道路上,这一方面影响交通畅通,带来交通安全隐患;另一方面也不利于车辆的管理,车辆容易被破坏或被偷盗,给车主带来财产损失。与此同时,随着城市停车场规模也日益大型化,加之服务车辆繁杂,人工管理的效率和可靠性已难以满足其在管理上提出的要求,此时,运用监控、诱导、路闸等手段实现车辆的智能化管理应运而生。 停车场智能管理系统是现代化停车场车辆收费及设备自动化管理的统称,是将车场完全置于计算机管理下的高科技机电一体化产品。据恒业国际控股集团有限产品经理罗海江介绍,停车场智能管理系统兴起于2001年,发展到现在系统正日趋完善,功能越来越面向国的实际需求,系统操作也更加人性化。如今智能停车场管理系统已经经过了从早期对国外产品的跟随和模仿阶段,进入到了一个产品创新,紧随市场需求并不断壮大的阶段。目前国停车场系统生产企业有400多家,其中国产停车场系统产品约占市场份额的80%左右,国外停车场系统市场占20%。而在欧美地区,据了解,欧洲一些国家的停车产业发展已有50年的历史,并已达到一种良性循环和有序发展。停车产业也已成为年产值数十亿美元的大产业。美国的停车产业每年收入约达260亿美元,占全美GDP的3.25%。而我国的停车产业尚处于起步阶段,城市汽车保有量与停车位之比远低于国际公认的1∶1.3的合理比例,加之今后相当长的一段时期,作为国家支柱产业的汽车工业和汽车市场还将保持高速成长的态势,汽车保有量的增加必将带来对停车市场的需求增长,可见,只要城市有关政策给予适当支持,停车业作为一个新兴产业具有广阔的前景,其发展规模和潜力巨大。 目前,停车场管理系统应用的领域很广泛,如中小型商业收费停车场(酒店、写字楼、商场、剧院配套)、大型或超大型商业收费停车场(机场、体育场、展览中心)、小区停车场等等。 停车场轻松实现智能管理 GA/T761-2008(《停车(库)场安全管理系统技术要求》)规定,停车库(场)安全管理系统主要由入口部分、库(场)区部分、出口部分、中央管理部分等组成。由于各部分分工不同,在应用上也各具特色。 出入口:“RFID读卡+车牌识别”担纲主唱 停车场管理系统的入口和出口部分皆由识读、控制、执行三部分组成,入口部分可根据安全防管理的需求添加自动出卡/出票设备、识读/引导指示装置、图像获取设备、对讲设备等,而出口部分主要可扩充自动收卡/验票设备、收费指示装置、图像获取设备、对讲设备等。就目前而言,在停车场智能管理系统的出入口的舞台上,RFID读卡搭档车牌识别,牢牢占据着主唱之位。 1、RFID读卡 读卡器在停车场管理系统扮演着重要角色,如今它已由早期简单的接触式卡(磁卡/ID卡等),发展到感应式IC卡,再到RFID卡。而在智能停车场中,RFID 卡最具话语权。 RFID读卡技术是一种利用电磁波进行信号传输的识别方法,被识别的物体本身应具有电磁波的接收和发送装置。RFID卡识别技术继承了IC卡技术的优点,保密性高、不可伪造。同时省去了刷卡过程,提高了识别速度。RFID系统工作

停车场管理系统实验报告汇总

华北水利水电学院数据结构实验报告 2011~2012学年第二学期2011级计算机专业 班级:**** 学号:***** 姓名:**** - 实验二栈和队列及其应用 一、实验目的: 1.掌握栈的特点(先进后出FILO)及基本操作,如入栈、出栈等,栈的顺序存储结构和链式存储结构,以便在实际问题背景下灵活应用。 2.掌握队列的特点(先进先出FIFO)及基本操作,如入队、出队等,队列顺序存储结构、链式存储结构和循环队列的实现,以便在实际问题背景下灵活运用。 二、实验内容: 1.链栈的建立、入栈、出栈操作。 2.环形队列的建立、入队、出队操作。 3.停车场管理。设停车场内只有一个可停放n辆汽车的狭长通道,且只有一个大门可供汽车进出。汽车在停车场内按车辆到达时间的先后顺序,依次由北向南排列(大门在最南端,最先到达的第一辆车停放在车场的最北端),若车场内已停满n辆汽车,则后来的汽车只能在门外的便道上等候,一旦有车开走,则排在便道上的第一辆车即可开入;当停车场内某辆车要离开时,在它之后开入的车辆必须先退出车场为它让路,待该辆车开出大门外,其它车辆再按原次序进入车场,每辆停放在车场的车在它离开停车场时必须按它停留的时间长短交纳费用。试为停车场编制按上述要求进行管理的模拟程序。 实现提示:以栈模拟停车场,以队列模拟车场外的便道,按照从终端读入的输入数据序列进行模拟管理。每一组输入数据包括三个数据项:汽车“到达”或“离去”信息、汽车牌照号码及到达或离去的时刻,对每一组输入数据进行操作后的输出数据为:若是车辆到达,则输出汽车在停车场内或便道上的停车位置;若是车离去;则输出汽车在停车场内停留的时间和应交纳的费用(在便道上停留的时间不收费)。栈以顺序结构实现,队列以链表(带头结点)实现。 需另设一个栈,临时停放为给要离去的汽车让路而从停车场退出来的汽车,也用顺序存储结构实现。输入数据按到达或离去的时刻有序。栈中每个元素表示一辆汽车,包含两个数据项:汽车的牌照号码和进入停车场的时刻。 设n=2,输入数据为:(‘A’,1,5),(‘A’,2,10),(‘D’,1,15),(‘A’,3,20),(‘A’,4,25),(‘A’,5,30),(‘D’,2,35),(‘D’,4,40),(‘E’,0,0)。每一组输入数据包括三个数据项:汽车“到达”或“离去”信息、汽车牌照号码及到达或离去的时刻,其中,‘A’表示到达;‘D’表示离去,‘E’表示输入结束。 三、实验要求: 1.C/ C++完成算法设计和程序设计并上机调试通过。 2.撰写实验报告,提供实验结果和数据。 3.写出算法设计小结和心得。 四、程序源代码: 1.#include #include typedef struct stnode { int data; stnode *next;

停车场管理系统方案设计

实用文档 停车场管理系统设计方案

重庆冠超科技有限公司

第一章项目概述 一、项目情况说明 本次方案设计主要针对物流园区停车场管理系统进行设计,同时结合我公司对整个停车场管理系统的总体规划,提供的管理模式以供参考。 此次停车场管理系统为一进一出(可脱机收费)停车场管理系统,入口人工识别车型发卡,出口刷卡软件显示收费金额(LED同步),在停车场系统的出口设置收费管理电脑近距离读卡系统、图像对比系统、收费等。设置系统管理中心,数据的查询、管理等。 第二章系统设计思路 一、系统总体规划设计 本方案中提供停车场管理模式以供参考: 管理模式: 此为目前行业所有厂家最为通用的一种模式,停车场系统只在本地独立运行,停车场系统为独立的局域网,不借用办公网络,只能在本地存储、备份、查询和管理系统数据。 二、系统组成及功能设计 1、入口设备组成及功能设计 (1)入口设备组成 停车场入口设备由入口自动道闸(车辆检测器)、摄像机、聚光灯、近距离读卡器等组成。 一卡一车的逻辑控制功能:同一张卡如果已经入场,必须出场后才能再次入场,确

保一卡一车、一进一出的逻辑控制。 收费及记录存储功能:控制机标准设计用户数为10000,脱机记录数为10000万条。 满足大系统有更大容量要求。 手动开闸记录功能:系统具有手动开闸记录功能,给管理人员提供更多的监管手段。 图像抓拍对比功能:车辆入场时,系统会抓拍车辆的入场图片并存储,以供车辆出场时进行人工比对。 2、出口设备组成及功能设计 (1)出口设备组成 停车场出口设备由出口(含近距离读卡器、CAK3000控制器、显示屏、语音提示系统及附件)、自动道闸(车辆检测器)、摄像机、聚光灯、远距离读卡器等组成。 (2)出场功能设计 信息显示及广告发布功能:出口票箱显示屏能通过管理电脑软件加载广告信息或停车场信息,在无车情况时,显示屏会滚动显示当前时间和用户发布的广告等信息。 语音提示功能:当有车行驶至出口票箱车辆检测线圈上时,出口票箱检测到有车,会根据当前的时间,立即通过语音提示系统发出礼貌用语并在显示屏上显示礼貌用 语(提示信息:如一路顺风等等)。对于控制机使用过程中的操作,语音提示系统 也会进行相应的提示。 一卡一车的逻辑控制功能:同一张卡如果已经出场,必须再次入场后才能再次出场,确保一卡一车、一进一出的逻辑控制。 手动开闸记录功能:系统具有手动开闸记录功能,给管理人员提供更多的监管手段。 图像抓拍对比功能:车辆出场时,系统会抓拍车辆的出场图片并存储,以便操作人员与入场图像进行人工比对。 3、出口岗亭管理设备组成及功能设计 (1)出口岗亭管理设备组成 停车场出口岗亭管理设备由收费电脑、网络交换机、临时卡计费器、视频捕捉卡等组成。 (2)出口岗亭管理功能设计 临时卡收费功能:临时卡出场可通过岗亭内临时卡计费器读卡(也可在出口票箱面板读卡感应区),并根据相应的收费标准进行收费并提示收费金额、停车时间等。

停车场管理系统(需求分析)

停车场管理系统应用软件 需求分析 1. 引言 IT 行业的高速发展让计算机技术深入日常生活的每一个细节,在各个领域中,计算机技术的应用帮助人们减少劳动量,提高工作效率,发挥着越来越重要的作用。随着城市化程度的加深,房地产行业日益兴盛,越来越多的停车场散布在城市里,停车场中来往的车辆与日俱增,对停车场的管理也就是非常重要。本讨论组结合此次的课程设计开发以下的停车场管理系统,使停车场里的车辆能得到有序并且相对全面的管理。 2. 数据流程图分析 2.1. 数据流程图基本符号: 数据接口 数据处理 数据存储 数据流 2.2. 入场停车与出场取车。 2.2.1. 入场流程图: (1)入场 根据系统提示的停车场的现有信息控制车辆的入场,停车场在有车位切卡被识别的情况下方能停车。 (2)停车 指定停车位置提示给用户。 2.2.2. 出场流程图: (1)收费 根据车辆信息,卡的类型以及停车的时间等依据收费标准计算收费额度实施审核通过

收费环节。 (2)出场 交费之后在出场的相关信息提示下完成停车管理过程。 3. 数据字典 数据字典的作用就是给数据流程图上的每个成分以定义与说明。停车场管理系统的数据流程图加上数据字典。能给出一个具有详细的、具体的文字内容与图形的系统逻辑模型,形成一个完整的说明。 基于本系统的规模较大,数据字典的内容繁杂等原因,现只对部分具有代表性的给予说明。 表1、1用户名 表1、2密码 属性:实体所具有的某一特性,一个实体可由若干个属性来刻画,如果就是派生属性则用虚线椭圆表示。 联系:联系也称关系,信息世界中反映实体内部或实体之间的联系。实体内部的联系通常就是指组成实体的各属性之间的联系。 4.2. E-R 图及基本符号:

智能停车场管理系统发展趋势浅析

智能停车场管理系统发展趋势浅析 摘要:在社会经济快速发展背景下,私家车数量逐渐增加,大城市中车位难求,这种状况的出现在一定程度上促进了智能停车的实现,其在价值性方面也越发凸显,今后势必会有更多企业加入智能停车场管理系统研究中。在此情况中,对停车场管理有了更高要求。但是当前停车场管理系统现状来讲,存在缺乏监管、重视收费等问题。因此移动互联网不断发展过程中,需重视对智能停车场管理系统的开发与研究。 关键词:智能停车场;管理系统;发展趋势 停车场属于交通管理系统的重要组成,传统人工管理方式已经难以满足社会实际需求,智能停车场的建立能够使停车场管理获得全新方向。但是就当前智能停车场管理系统发展现状来讲,大范围普及仍需一段时间,即使部分停车场实现了智能化,但是在客观因素和技术水平影响下,存在较多缺陷与问题,为了使存在问题得以有效解决,需尽量使用可行性较高的管理系统,这样才能使现代停车需要得到充分满足[1]。 1.设计理念 首先,体现先进性。智能停车场管理系统在运用时,需将多种先进技术综合在一起,构建具有现代化特点技术平台,就系统设计来讲,需和当前信息技术以及应用技术的主要发展方向相符。其次,凸显实用性与可靠性。系统使用性较强,工作各个环节在流程

上都比较清晰,并且操作便利,在进行业务处理时比较符合常规,操作解界面在使用时也比较友好。同时系统使用当前较为先进的主流产品,性能够表现上有可靠、稳定要求,能在持续状态下高质量运行。其次,确保经济性与易维护性。系统在使用时,不仅需将技术先进性充分体现出来,也需使用性价比较高的产品,这样不仅能够使产品在运行时的质量得到保证,也能实现对成本的节约。同时系统在使用时,不仅需运用自动化管理方式,也需保证修理和维护时比较简便。最后,展现防伪性。智能停车场管理系统当中使用的IC卡,具有极高的保密性,加密功能及时花费很多时间也难以破解,更不能对其进行仿造,这在一定程度上使系统的可行性得到了保证。 二、智能停车场的主要系统构成 1.车位显示 智能停车场管理系统在运行时会运用全电脑管理方式,对各个车位情况进行实时监测,通常情况下,每个车位都会设置检测器,然后运用信号处理器将其并入到管理软件相关子系统当中,管理软件在对其进行分析之后,将最佳停车位为车主展示,车位位置会显示在屏上[2]。同时车位提示灯会开启并且闪亮,提示车主可以将车停在此处。如果检测器检测之后发现车库中已经没有空车位,在屏幕上将会显示车库已满,并且在出票机上也会显示车库满为,这时车辆将不再被允许进入。 2.防盗系统

停车场管理的模拟系统

广东海洋大学信息学院课程设计报告 设计题目停车场管理的模拟系统 课程名称数据结构 姓名(学号) 联系电话 专业名称计算机科学与技术 所在班级计科1112 指导教师谢仕义 教师职称教授 起止时间2011 年12月26日至2012年1月6日评定成绩

一、课程设计的主要内容 熟悉理解栈和队列的逻辑结构和存储结构,设计实现停车场管理的模拟系统,其主要内容如下:设停车场是一个可以停放n辆汽车的狭长通道,且只有一个大门可供汽车进出,其模型如下图1所示。汽车在停车场内按车辆到达时间的先后顺序,依次由北向南排列(大门在最南端,最先到达的第一辆汽车停放在车场的最北端),若车场内已停满n辆车,那么后来的汽车只能在门外的便道上等候,一旦有车开走,则排在便道上的第一辆车即可开入;当停车场内某辆汽车要离开时,在它之后进入的车辆必须先退出停车场按顺序开入临时停放道为其让路,待其开出大门外后,再按原次序进入车场,每辆停放在停车场的汽车在它离开停车场时必须按其停留的时间长短缴纳费用(从进入停车场开始计费)。 二、功能和结构设计 I.主界面 为实现各项功能,首先设计一个汉多个功能的主控菜单子程序,已连接系统各项功能,方便用户使用。系统主控菜单界面如下:

II ,系统的主要要求: 1.以栈模拟停车场,以队列模拟停车场外的便道,同时用另一个栈模拟为离去车辆让路而从停车场退出来的车辆的临时停放道。 2.每一组输入数据包括三个数据项:车辆“到达”或“离去”信息、车辆牌照号码、车辆到达或离去的时刻。 3对每一组输入数据进行操作后的输出信息为:每当有车辆到达或离去时,动态输出停车场内、便道上以及临时停放道的车辆排队情况,若是车辆离去,还应输出汽车在停车场内停留的时间和应缴纳的费用(在便道上停车不收费)。 III.记录到达车辆: 临时停放为给离去的汽车让而从停车场退

停车场管理系统方案

停车场管理系统 设 计 方 案 目录 第一章概述 (1) 1.1传统停车场面临的问题 (1) 1.2用户需求分析 (2) 1.3设计思想 (2) 1.4设计依据 (3) 第二章系统设计 (5) 2.1系统方案 (5) 2.2系统功能特点 (6) 1)资料管理 (6) 2)费用管理 (6)

3)实时监控 (7) 4)故障报修 (7) 3、车牌识别系统功能 (7) 3.1、基本功能 (7) 3.2车牌识别系统优势 (10) 3.3系统使用流程 (12) 第三章系统技术参数及功能 (13) 3.1系统硬件 (13) 3.2系统软件功能 (19) 第一章概述 1.1传统停车场面临的问题 传统的停车场收费模式大多采用近距离读卡方式或取票的方式,车主必须停车刷卡/ 取票后方能通行,使用非常不方便,有的车主还需要下车刷卡/取票。因此会碰到以下问题: 1)下雨天刷卡/取票容易被淋湿; 2)上下坡道停车刷卡/取票容易造成溜车、碰撞等事故。 3)停车刷卡更有通行速度慢的缺点,尤其是在进出高峰容易造成拥堵。 4)各个项目管理独立分散,给管理带来极大不便。

1.2需求分析 一个好的建筑必须具备先进的技术、现代化的硬件设施,以保证车辆进出快捷、方便、顺畅、有序,泊车安全、防盗;同时还需要优秀的收费系统以保证管理方便、收费的公开、公正、合理、费用不流失,真正实现人性化、智能化、自动化的管理。 应着停车场的信息化、智能化管理的现实需求,能给予车主提供一种更加安全、舒适、方便、快捷和开放的环境,实现停车场运行的高效化、节能化、环保化; 1.3设计思想 在系统设计过程中,所有的步骤和目的都是为了建设一个具有规范性,实用性,先进性,可靠性,经济性,可维护性,可扩充性等诸多优点的系统,带有前瞻性的设计保障系统在当前具有业界先进性,尽量延长使用周期而不会因过时而淘汰. 1)规范性:系统的设计严格遵守系统相关的国家标准和行业标准,所使用到的设备符 合对应的检测标准,设备和设备的接口,通讯数据格式等都采用国际通用的相关标准格式, 最大限度保障系统的标准化和规范化. 2)实用性:系统在设计之前,已经充分了解和把握项目的实际需求和项目的相关情况,根据实际使用环境选择最为合适的硬件设备,同时根据具体的客户化要求对软件平台进行 优化,满足项目所有的功能要求和实际使用需求,力求做到量身订作,丝丝入扣. 3)先进性:整个系统采用了先进的开放式分布模块化设计思想,充分使用了物联网技术,自动控制技术,网络通讯技术,RFID无线射频技术,传感器技术,接口转换技术等等诸多高新技术,从基础上保障了系统的技术含量高,思想超前,提升用户形象.

相关文档
最新文档