全国自考模拟数字及电力电子技术实验报告

全国自考模拟数字及电力电子技术实验报告
全国自考模拟数字及电力电子技术实验报告

(一)常用电子仪器使用及测量

(二)单级共射放大器

(三)运算放大器组成的基本运算电路

(四)串联式直流稳压电源

(五)集成逻辑门电路

(六)集成触发器

(七)集成计数器、译码显示电路

(八)脉冲产生及整形电路

(九)单相桥式半控整流电路

(十)直流斩波电路

实一常用电子仪器的使用

一. 实训目的

1.学习电子电路实训中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。

2.初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。

二. 实训原理

在模拟电子电路实训中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。

实训中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实训装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1-1 模拟电子电路中常用电子仪器布局图

1.示波器

示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重点指出下列几点:

(1)寻找扫描光迹

将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。)

(2)双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单踪显示方式和“交替”、“断续”两种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较底时使用。

(3)为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。

(4)触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。

有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被测信号的波形不停地在X轴方向左右移动,这样的现象仍属于稳定显示。

(5)适当调节“扫描速率”开关及“Y轴灵敏度”开关使屏幕上显示一~二个周期的被测信号波形。在测量幅值时,应注意将“Y轴灵敏度微调”旋钮置于“校准”位置,即顺时针旋到底,且听到关的声音。在测量周期时,应注意将“X轴扫速微调”旋钮置于“校准”位置,即顺时针旋到底,且听到关的声音。还要注意“扩展”旋钮的位置。

根据被测波形在屏幕坐标刻度上垂直方向所占的格数(div或cm)与“Y轴灵敏度”开关指示值(v/div)的乘积,即可算得信号幅值的实测值。

根据被测信号波形一个周期在屏幕坐标刻度水平方向所占的格数(div或cm)与“扫速”开关指示值(t/div)的乘积,即可算得信号频率的实测值。

2.函数信号发生器

函数信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20VP-P。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。函数信号发生器的输出信号频率可以通过频率分档开关进行调节。

函数信号发生器作为信号源,它的输出端不允许短路。

3.交流毫伏表

交流毫伏表只能在其工作频率范围之内,用来测量交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。

三. 实训设备与器件

1.函数信号发生器

2.双踪示波器

3.交流毫伏表

四. 实训内容与步骤

1.用机内校正信号对示波器进行自检。

(1)扫描基线调节

将示波器的显示方式开关置于“单踪”显示(Y1或Y2),输入耦合方式开关置“GND”,

触发方式开关置于“自动”。开启电源开关后,调节“辉度”、“聚焦”、“辅助聚焦”等旋钮,使荧光屏上显示一条细而且亮度适中的扫描基线。然后调节“X轴位移”()和“Y轴位移”( )旋钮,使扫描线位于屏幕中央,并且能上下左右移动自如。

(2)测试“校正信号”波形的幅度、频率

将示波器的“校正信号”通过专用电缆线引入选定的Y通道(Y1或Y2),将Y轴输入耦合方式开关置于“AC”或“DC”,触发源选择开关置“内”,内触发源选择开关置“Y1”或“Y2”。调节X轴“扫描速率”开关(t/div)和Y轴“输入灵敏度”开关(V/div),使示波器显示屏上显示出一个或数个周期稳定的方波波形。

a.校准“校正信号”幅度

将“y轴灵敏度微调”旋钮置“校准”位置,“y轴灵敏度”开关置适当位置,读取校正信号幅度。

b.校准“校正信号”频率

将“扫速微调”旋钮置“校准”位置,“扫速”开关置适当位置,读取校正信号周期。

c.测量“校正信号”的上升时间和下降时间

调节“y轴灵敏度”开关及微调旋钮,并移动波形,使方波波形在垂直方向上正好占据中心轴上,且上、下对称,便于阅读。通过扫速开关逐级提高扫描速度,使波形在X?轴方向扩展(必要时可以利用“扫速扩展”开关将波形再扩展10倍),并同时调节触发电平旋钮,从显示屏上清楚的读出上升时间和下降时间。

2.用示波器和交流毫伏表测量信号参数

调节函数信号发生器有关旋钮,使输出频率分别为100Hz、1kHz、10kHz、100kHz,有效值均为1V(交流毫伏表测量值)的正弦波信号。改变示波器“扫速”开关及“Y轴灵敏度”开关等位置,测量信号源输出电压频率及峰峰值。

五. 实训总结

1.总结示波器、函数信号发生器等仪器正确使用方法。

2.总结用双踪示波器观察信号波形和读取波形参数的方法。

训二二极管、三极管的判别与检测

一. 实训目的

1.学会用万用表判别晶体二极管和三极管的管脚。

2.学会用万用表检测晶体二极管和三极管质量的好坏。

二. 实训原理

1.晶体二极管

(1)晶体二极管(以下简称二极管)是内部具有一个PN结,外部具有两个电极的一种半导体器件。对二极管进行检测,主要是鉴别它的正、负极性及其单向导电性能。通常其正向电阻小为几百欧,反向电阻大为几十千欧至几百千欧。

(2)二极管极性的判别

根据二极管正向电阻小,反向电阻大的特点可判别二极管的极性。

指针式万用表:将万用表拨到R100或R1k的欧姆档,表棒分别与二极管的两极相连,测出两个阻值,在测得阻值较小的一次测量中,与黑表棒相接的一端就是二极管的正极。同理在测得阻值较大的一次测量中,与黑表棒相接的一端就是二极管的负极。

数字式万用表:红表笔插在“V·Ω”插孔,黑表笔插在“COM”插孔。将万用表拨到二极管档测量,用两支表笔分别接触二极管两个电极,若显示值为几百欧,说明管子处于正向导通状态,红表笔接的是正极,黑表笔接的是负极;若显示溢出符号“1”,表明管子处于反向截止状态,黑表笔接的是正极,红表笔接的是负极。

(3)二极管质量的检测

一个二极管的正、反向电阻差别越大,其性能就越好。用上述方法测量二极管时,如果双向电阻值都较小,说明二极管质量差,不能使用;如果双向阻值都为无穷大,说明该二极管已经断路;如果双向阻值均为零,则说明二极管已被击穿。在这三种情况下二极管就不能使用了。

2.晶体三极管

(1)三极管的结构可以看成是两个背靠背的PN结,如图2-1所示。对NPN管来说,基极是两个PN结的公共阳极,对PNP管来说,基极是两个PN结的公共阴极。

图2-1 晶体三极管结构示意图

(2)三极管基极与管型的判别

将指针式万用表拨到R100或R1k欧姆档,用黑表棒接触某一管脚,用红表棒分别接触另两个管脚,如表头读数都很小,则与黑表棒接触的那一管脚是基极,同时可知此三极管为NPN型。若用红表棒接触某一管脚,而用黑表棒分别接触另两个管脚,表头读数同样都很小时,则与红表棒接触的那一管脚是基极,同时可知此三极管为PNP型。用上述方法既判定了晶体三极管的基极,又判别了三极管的类型。用数字万用表判别时,极性刚好相反。

(3)三极管发射极和集电极的判别

方法一:以NPN型三极管为例,确定基极后,假定其余的两只脚中的一只是集电极,将黑表棒接到此脚上,红表棒则接到假定的发射极上。用手指把假设的集电极和已测出的基极捏起来(但不要相碰),看表针指示,并记下此阻值的读数。然后再作相反假设,即把原来假设为集电极的脚假设为发射极。作同样的测试并记下此阻值的读数。比较两次读数的大小,

若前者阻值较小,说明前者的假设是对的,那么黑表棒接的一只脚是集电极,剩下的一只脚就是发射极了。

若需判别是PNP型晶体三极管,仍用上述方法,但必须把表棒极性对调一下。

图2-2 晶体三极管集电极C、发射极E的判别

方法二:如图2-2所示,在判别出三极管的基极后,再将三极管基极与100k电阻串接,电阻另一端与三极管的一极相接,将万用表的黑表笔接三极管与电阻相连的一极,万用表的红表笔接三极管剩下的一极,读取电阻值,再将三极管的两极(C、E极)对调,再读取一组电阻值,阻值小的那一次与指针式万用表黑表笔相连的极为集电极(NPN)或发射极(PNP)。三

序号名称型号与规格数量备注

1万用表1只自备

2电阻100k 1个DZ-15

3二极管1N4007、1N4148、2DW231 各1个DZ-15

4发光二极管红色1个DZ-15

5三极管3DG12、3CG12 各1个DZ-16

四. 实训内容与步骤

1.用万用表测量二极管

二极管型号1N4007 1N4148 发光二极管

正向电阻 4.4k 3.1k 18k

反向电阻无穷大无穷大无穷大

2.用万用表测量三极管

三极管型号s9014

一脚对另两脚电阻都大时阻值无穷大

一脚对另两脚电阻都小时阻值 6.5k

基极连100k电阻时C-E间阻值11k

基极连100k电阻时E-C间阻值90k

五. 实训注意事项

1.实训前根据实训要求,选择所需实训挂箱。

2.放置挂箱时,要按照要求轻拿轻放,以免损坏器件。

3.实训结束后,要按照要求整理实训台,实训导线和实训挂箱要放到指定位置。

六. 实训总结

1.老师提供给学生1-2个未知E、B、C极的三极管,由学生来确定它的E、B、C极。

2.总结晶体二极管和三极管极性的判别方法。

验一常用电子仪器使用练习和单管放大电路

一、实验目的

1.了解示波器、信号发生器、直流稳压电源和数字万用表的使用方法。

2.掌握放大器静态工作点的调试方法。

3.学习放大器的动态性能。

4.学会测量放大器Q点,Av,ri,ro的方法。

5.了解射极偏置电路的特性。

6.了解放大器频率特性测试方法。

二、实验仪器

示波器、万用表、信号发生器等

三、实验内容和步骤

1.按图1-1在实验板上接好线路

用万用表判断板上三极管V1极性和好坏。

2.静态工作点的测量

P P

V b(V)Ube(V)Ve(V)Uce(V)

测量值 2.7 0.7 2 0.3

计算值 2.7 0.7 2 0.3 3.动态分析

(1)将信号源调到频率为f=1KHZ,波形为正弦波,信号幅值为2mV,接到放大器的输入端观察ui和uo波形,放大器不接负载。

(2)在信号频率不变的情况下,逐步加幅值,测uo不失真时的最大值,结果如下。

测量值计算值

u i(mV)u o(V)Au=u o/u i

1 -0.089 -89

2 -0.178 -89

3 -0.267 -89

4 -0.356 -89

5 -0.445 -89

(3)保持f=1KHZ,幅值为2mV,放大器不接负载(R L=∞)和接入负载R L(5.1K),改变Rc数值的情况下测量,测量值、计算值如下表。

给定参数测量值计算值Rc R L u i(mV)u o(V)Au=u

o/u i

5.1K 5.1K 2 -0.178 -89

2.5K 5.1K 2 -0.118 -59

5.1K ∞ 2 -0.356 -178

2.5K ∞ 2 -0.174 -87

4.放大器的输入、输出电阻

(1)输入电阻测量

在输入端串接5.1K电阻,加入f=1KHZ、20 mV的正弦波信号,用示波器观察输出

波形,用毫伏表分别测量对地电位Vs、Vi。如图1-3所示。

将所测数据及计算结果填入表1-3中。

图1-3 输入电阻测量

测量值计算值

Vs(mV)Vi(mV)ri=Vi*Rs/(Vs-Vi)

20 4 1.275K

(2)输出电阻测量

在A点加f=1KHZ的正弦波交流信号, 在输出端接入可调电阻作为负载,选择合适

的R L值使放大器的输出波形不失真(接示波器观察),用毫伏表分别测量接上负载

R L时的电压V L及空载时的电压Vo。

将所测数据及计算结果填入表1-4中。

图1-4 输出电阻测量

实验二基本运算电路

一、实验目的

1、熟悉Multisim9软件的使用方法。

2、掌握理解集成运算放大器的工作原理。

3、掌握集成运算放大电路的基本运算关系及基本测量方法。

二、虚礼实验仪器及器材

双踪示波器、信号发生器、交流毫伏表、数字万用表等仪器、集成电路741三、实验原理与步骤

1.按如下所示输入电路

2.静态测试,记录集成电路的各管脚直流电压

V2(uV)V3(uV)V4(V)V6(mV)V7(V)

483 -546 -14 12.3 14

3.最大功率测试:9.994*10W

4.频率响应测试:通频带89.7901K

5.输出波形观察:正弦波

6.放大倍数测量:9.997

实验三 集成门电路测试

一、实验目的

1.熟悉门电路的逻辑功能。

2.熟悉常用集成门电路的引脚排列及其使用。 二、实验设备和器件

1.直流稳压电源、信号源、示波器、万用表、面包板 2.74LS00、74LS04、74LS86 三、实验内容 1.非门逻辑功能

分别将输入端A 接低电平和高电平,测试输出端F 电压,实验结果如下表。

A

F

14131211109

8ND

U CC 74LS04A 4Y 4A 5Y 5A 6Y 6a)引脚排列

2.与非门逻辑功能,实验结果如右表。

1312111098

D

U CC

74LS00

A 4

B 4B 3A 3Y 4Y 3A F

B

)引脚排列

b )实验电路

3.异或门逻辑功能,实验结果如右表。

1312111098

D

U CC 74LS86

A 4

B 4B 3A 3Y 4Y 3

A F

B

)引脚排列

(b )实验电路

4.与或非门逻辑功能,实验结果如下表。

A

F

B C

D

5.与非门对输出的控制

输入端A 接一连续脉冲,输入端B 分别接高电平和低电平。

连续脉冲F

B

A

四、各门电路的逻辑表达式如下。 1.-

=A F 2.___AB F =

3. B A B A F

__

__+=

4. ___

__________________________________________

_____CD

AB CD A F +=?=B

5. ___

AB F

=

当B 为高电平时___

A F

=,当B 为低电平时1

=F

实验四 集成触发器

一、实验目的

1.熟悉D 触发器和JK 触发器的功能。 2.学会正确使用触发器集成电路。 3.了解触发器逻辑功能的转换。 二、实验设备和器件

1.直流稳压电源、信号源、示波器、万用表、面包板 2.74LS74 、74LS112 、74LS86 3.1k Ω电阻、发光二极管 三、实验内容

1.D 触发器功能测试

13

1211

10

98

1234567GND

U CC

2D 1CP 1Q 1D 2Q

2CP 74LS74

D

CP

D

S D R (a)引脚排列(b )实验电路

分别在D S 、D R 端加低电平,改变CP 和D 状态,实验结果如下表。

2.JK 触发器功能测试,实验结果如下表。 1514

131211

10

1234567GND

U CC 74LS112

1CP 1Q 2Q 2CP 1J 1K 2J 2K 89

(a)引脚排列

(b )实验电路

74LS112CP D

S D

R J K

3.D 触发器转换成T 触发器

按照下图所示可以将D 触发器转换成T 触发器,实验结果如下表。

T

四、总结几种触发器的功能和各自特点。 D 触发器 D Q n =+1

JK 触发器 n n

n Q K Q J Q -

++=___1

T 触发器 n n

n Q T Q T Q

-

++=____1

'T 触发器 ____

1

n n Q

Q

=+

实验五 时序逻辑电路

一、实验目的

1.熟悉集成计数器的逻辑功能。

2.掌握计数器控制端的作用及其应用。 二、实验设备和器件

1.直流稳压电源、信号源、示波器、万用表、面包板 2.74LS190、74LS393、74LS04 3.1k Ω电阻、发光二极管 三、实验内容

1.集成计数器的功能测试,74LS393、74LS190功能测试结果如表表5-1、5-2。

(a)引脚排列

1413

12

111098

1

2

3

4

5

6

7

U CC 74LS393

1CP 1Q 01Q 11Q 21Q 3GND

2Q 3

2Q 22Q 12Q 02CP CP R D

1

U CC D 116表5-1 74LS393功能测试表

表5-2 74LS190功能测试表

2.任意进制计数器的设计

(1)用置数法将74LS190连成七进制计数器,按下图接线。

图5-3 74LS190连成七进制计数器

(2)观察输出端变化,画出状态转换图。 按0123Q Q Q Q 顺序

3.利用计数器构成分频器

(1)N 位二进制计数器能够完成时钟信号CP 的N

2分频。按下图接线。

74LS393

1Q 3

1Q 01Q 1

1Q 21R D

1CP

CP

12

654

3

Q 1

(2)分别观察从各端子输出可以构成几分频。

0Q 输出可以构成二分频,1Q 输出可以构成四分频,2Q 输出可以构成八分频,3Q 输出可以

构成十六分频。

串联型晶体管稳压电路

一、实验目的

1、熟悉Multisim软件的使用方法。

2、掌握单项桥式整流、电容滤波电路的特性。

3、掌握串联型晶体管稳压电路指标测试方法

二、虚拟实验仪器及器材

双踪示波器、信号发生器、交流毫伏表、数字万用表等仪器、晶体三极管

3DG6×2(9011×2)、DG12×1(9013×1)、晶体二极管IN4007×4、稳压管

IN4735×1

三、知识原理要点

直流稳压电源原理框图如图4-1 所示。

四、实验原理

图为串联型直流稳压电源。它除了变压、整流、滤波外,稳压器部分一般有四个环节:调整环节、基准电压、比较放大器和取样电路。当电网电压或负载变动引起输出电压Vo变化时,取样电路将输出电压Vo的一部分馈送回比较放大器与基准电压进行比较,产生的误差电压经放大后去控制调整管的基极电

流,自动地改变调整管的集一射极间电压,补偿Vo的变化,从而维持输出电压基本不变。

五、实验内容与步骤

1、整流滤波电路测试

按图连接实验电路。取可调工频电源电压为16V~,作为整流电路输入电压u2。

整流滤波电路

1) 取RL=240Ω ,不加滤波电容,测量直流输出电压UL 及纹波电压 L,并用

示波器观察u

2和u

L

波形,记入表5-1 。U2=16V~

2) 取RL=240Ω ,C=470μf ,重复内容1)的要求,记入表5-1。

3) 取RL=120Ω ,C=470μf ,重复内容1)的要求,记入表5-1

电路形式U

L (V)L

(V)纹

u

L

波形

U2=16V~

R L =240Ω

12.95V 6.82V~

U2=16V~

R

L

=240Ω

C=47Oμf

20.24V 467mV~U2=16V~

R

L

=120Ω

C=470μf

19.619 842mV~

2. 测量输出电压可调范围

更改电路如下所示

接入负载,并调节Rw1,使输出电压Uo =9V 。若不满足要求,可适当调整R4、R5之值。

3. 测量各级静态工作点

调节输出电压Uo =9V ,输出电流Io =100mA , 测量各级静态工作点,记入表5-2。

表5-2 U 2=14V U 0=9V I 0=100mA Q1 Q2 Q3 U B (V ) 10.86 8.2 4.94 U C (V ) 17.5 10.86 10.86 U E (V )

10.1

9.01

4.28

4. 测量稳压系数S

取Io =100mA ,按表5-3改变整流电路输入电压U2(模拟电网电压波动),分别测出相应的稳压器输入电压Ui 及输出直流电压Uo ,记入下表。 表5-3

测 试 值( I O =100mA )

计算值

U 2(V ) U I (V ) U O (V )

R4=1.87K Rw1=30

%

R5=1.5K RL=

120

U O (V )

R4=510 Rw1=30% R5=1.5K RL=90 S

R4=1.87K Rw1=30

%

R5=1.5K RL=120

14

17.5 11.92 9.01 S 12=0.053 16

20 12 9.06

六、思考

1、对所测结果进行全面分析,总结桥式整流、电容滤波电路的特点。

桥式整流电路在未加滤波的情况下,输出电压为输入交流电压的正负两半波的直接相加,输出直流平均电压较低,且交流纹波很大。经电容滤波以后,直流输出电压升高,交流纹波电压减小,且电容越大(或负载电流较小)则交流纹波越小。

2、计算稳压电路的稳压系数S和输出电阻Ro,并进行分析。

根据表5-3稳压系数S=0.05(相对于输入电压变化率)。输出电阻

Ro=2(Ω)

3、分析讨论实验中出现的故障及其排除方法。

1本实验中仿真系统经常出错退出,可能是电路运算量太大造成的。本人具体的做法是分部仿真:将整流滤波与稳压部分分开仿真,在稳压部分VCC(直流电源)来替代整流滤波的输出。

2 本实验中R8=30(Ω)太大,应改为10(Ω)较妥。以保证正常工作时限流电路不影响稳压电路工作。

电力电子技术实验报告

实验一 SCR、GTO、MOSFET、GTR、IGBT特性实验 一、实验目的 (1)掌握各种电力电子器件的工作特性。 (2)掌握各器件对触发信号的要求。 二、实验所需挂件及附件 序 型号备注 号 1DJK01 电源控制屏该控制屏包含“三相电源输出”等几个模块。2DJK06 给定及实验器件该挂件包含“二极管”等几个模块。 3DJK07 新器件特性实验 DJK09 单相调压与可调负 4 载 5万用表自备 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载电阻R 串联后接至直流电源的两端,由DJK06上的给定为新器件提供触发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压器调节的直流电压源。 实验线路的具体接线如下图所示: 四、实验内容 (1)晶闸管(SCR)特性实验。

(3)功率场效应管(MOSFET)特性实验。

(5)绝缘双极性晶体管(IGBT)特性实验。 五、实验方法 (1)按图3-26接线,首先将晶闸管(SCR)接入主电路,在实验开始时,将DJK06上的给定电位器RP1沿逆时针旋到底,S1拨到“正给定”侧,S2拨到“给定”侧,单相调压器逆时针调到底,DJK09上的可调电阻调到阻值为最大的位置;打开DJK06的电源开关,按下控制屏上的“启动”按钮,然后缓慢调节调压器,同时监视电压表的读数,当直流电压升到40V时,停止调节单相调压器(在以后的其他实验中,均不用调节);调节给定电位器RP1,逐步增加给定电压,监视电压表、电流表的读数,当电压表指示接近零(表示管子完全导通),停止调节,记录给定电压U

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

电工和电子技术(A)1实验报告解读

实验一 电位、电压的测定及基尔霍夫定律 1.1电位、电压的测定及电路电位图的绘制 一、实验目的 1.验证电路中电位的相对性、电压的绝对性 2. 掌握电路电位图的绘制方法 三、实验内容 利用DVCC-03实验挂箱上的“基尔霍夫定律/叠加原理”实验电路板,按图1-1接线。 1. 分别将两路直流稳压电源接入电路,令 U 1=6V ,U 2=12V 。(先调准输出电压值,再接入实验线路中。) 2. 以图1-1中的A 点作为电位的参考点,分别测量B 、C 、D 、E 、F 各点的电位值φ及相邻两点之间的电压值U AB 、U BC 、U CD 、U DE 、U EF 及U FA ,数据列于表中。 3. 以D 点作为参考点,重复实验内容2的测量,测得数据列于表中。 图 1-1

四、思考题 若以F点为参考电位点,实验测得各点的电位值;现令E点作为参考电位点,试问此时各点的电位值应有何变化? 答: 五、实验报告 1.根据实验数据,绘制两个电位图形,并对照观察各对应两点间的电压情况。两个电位图的参考点不同,但各点的相对顺序应一致,以便对照。 答: 2. 完成数据表格中的计算,对误差作必要的分析。 答: 3. 总结电位相对性和电压绝对性的结论。 答:

1.2基尔霍夫定律的验证 一、实验目的 1. 验证基尔霍夫定律的正确性,加深对基尔霍夫定律的理解。 2. 学会用电流插头、插座测量各支路电流。 二、实验内容 实验线路与图1-1相同,用DVCC-03挂箱的“基尔霍夫定律/叠加原理”电路板。 1. 实验前先任意设定三条支路电流正方向。如图1-1中的I1、I2、I3的方向已设定。闭合回路的正方向可任意设定。 2. 分别将两路直流稳压源接入电路,令U1=6V,U2=12V。 3. 熟悉电流插头的结构,将电流插头的两端接至数字电流表的“+、-”两端。 4. 将电流插头分别插入三条支路的三个电流插座中,读出并记录电流值。 5. 用直流数字电压表分别测量两路电源及电阻元件上的电压值,记录之。 三、预习思考题 1. 根据图1-1的电路参数,计算出待测的电流I1、I2、I3和各电阻上的电压值,记入表中,以便实验测量时,可正确地选定电流表和电压表的量程。 答: 2. 实验中,若用指针式万用表直流毫安档测各支路电流,在什么情况下可能出现指针反偏,应如何处理?在记录数据时应注意什么?若用直流数字电流表进行测量时,则会有什么显示呢? 答:

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

电源仿真实验报告.

电子技术软件仿真报告 组长: 组员: 电源(一)流稳压电源(Ⅰ)—串联型晶体管稳压电源 1.实验目的 (1)研究单相桥式整流、电容滤波电路的特性。 (2)掌握串联型晶体管稳压电源主要技术指标的测试方法。 2.实验原理 电子设备一般都需要直流电源供电。除少数直接利用干电池和直流发电机提供直流电外,大多数是采用把交流电(市电)转变为直流电的直流稳压电源。

直流稳压电源由电源变压器、整流、滤波和稳压电路四部分组成,其原理框图如图7.18.1所示。电网供给的交流电源Ui(220V,5OHz)经电源变压器降压后,得到符合电路需要的交流电压U2;然后由整流电路变换成方向不变、大小随时间变化的脉动电压U3;再用滤波器滤去其交流分量,就可得到比较平直的直流电压Ui。但这样的直流输出电压还会随交流电网电压的波动或负载的变动而变化。在对直流供电要求较高的场合,还需要用稳压电路,以保证输出直流电压更加稳定。 图7.18.2所示为分立元件组成的串联型稳压电源的电路图。其整流部分为单相桥式整流、电容滤波电路。稳压部分为串联型稳压电路它由调整元件(晶体管V1)、比较放大器(V2,R7)、取样电路(R1,R2,RP)、基准电压(V2,R3)和过流保护电路(V3及电阻R4,R5,R6)等组成。整个稳压电路是一个具有电压串联负反馈的闭环系统。其稳压过程为:当电网电压波动或负载变动引起输出直流电压发生变化时,取样电路取出输出电压的一部分送入比较放大器,并与基准电压进行比较,产生的误差信号经V2放大后送至调整管V1的基极,使调整管改变其管压降,以补偿输出电压的变化,从而达到稳定输出电压的目的。 由于在稳压电路中,调整管与负载串联,因此流过它的电流与负载电流一样大。当输出电流过大或发生短路时,调整管会因电流过大或电压过高而损坏坏,所以需要对调整管加以保护。在图7.18.2所示的电路中,晶体管V3,R4,R5及R6组成减流型保护电路,此电路设计成在Iop=1.2Io时开始起保护作用,此时输出电路减小,输出电压降低。故障排除后应能自动恢复正常工作。在调试时,若保护作用提前,应减小R6的值;若保护作用迟后,则应增大R6的值。 稳压电源的主要性能指标: (1)输出电压Uo和输出电压调节范围 调节RP可以改变输出电压Uo。 (2)最大负载电流Iom (3)输出电阻Ro 输出电阻Ro定义为:当输入电压Ui(指稳压电路输入电压)保持不变,由于负载变化而引起的输出电压变化量与输出电流变化量之比,即 (4)稳压系数S(电压调整率)

电子技术基础实验报告要点

电子技术实验报告 学号: 222014321092015 姓名:刘娟 专业:教育技术学

实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ●实验前校准示波器,检查信号源。 ●按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ●调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 表3-1 Array ●输入端接入f=1KHz、V i=20mV的正弦信号。 ●分别测出电阻R1两端对地信号电压V i及V i′按下式计算出输入电阻R i : ●测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下式计算出输 出电阻R0;

将测量数据及实验结果填入表3-2中。 2、观察静态工作点对放大器输出波形的影响,将观察结果分别填入表3-3,3-4中。 ●输入信号不变,用示波器观察正常工作时输出电压V o的波形并描画下来。 ●逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描 画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i,或将R b1由100KΩ改为10KΩ,直到出现明显失真波形。) ●逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画 下来,并说明是哪种失真。如果R P2=1M后,仍不出现失真,可以加大输入信号V i,直到出现明显失真波形。 表 3-3 ●调节R P2使输出电压波形不失真且幅值为最大(这时的电压放大倍数最大),测量此时的静态工 作点V c、V B、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc的值在6-7V之间,此时使用万用表。接入输入信号1khz 20mv后,用示波器测试Vi与Vi’,记录数据。用公式计算出输入电阻的值。在接入负载RL和不接入负载时分别用示波器测试Vo的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工

电力电子技术实验报告

实验一 DC-DC 变换电路的性能研究 一、实验目的 熟悉Matlab 的仿真实验环境,熟悉Buck 电路、Boost 电路、Cuk 电路及单端反激变换(Flyback )电路的工作原理,掌握这几种种基本DC-DC 变换电路的工作状态及波形情况,初步了解闭环控制技术在电力电子变换电路中的应用。 二、实验内容 1.Buck 变换电路的建模,波形观察及相关电压测试 2.Boost 变换电路的建模,波形观察及相关电压测试; 3.Cuk 电路的建模,波形观察及电压测试; 4.单端反激变换(Flyback )电路的建模,波形观察及电压测试,简单闭环控制原理研究。 (一)Buck 变换电路实验 (1)电感电容的计算过程: V V 500=,电流连续时,D=0.4; 临界负载电流为I= 20 50 =2.5A ; 保证电感电流连续:)1(20D I f V L s -?= =5 .210002024.0-150????) (=0.375mH 纹波电压 0.2%= s s f LCf D V ?8-10) (,在由电感值0.375mH ,算出C=31.25uF 。 (2)仿真模型如下: 在20KHz 工作频率下的波形如下:

示波器显示的六个波形依次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形。 在50KHz工作频率下的波形如下: 示波器显示的六个波形一次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形; 建立仿真模型如下:

(3)输出电压的平均值显示在仿真图上,分别为49.85,49.33; (4)提高开关频率,临界负载电流变小,电感电流更容易连续,输出电压的脉动减小,使得输出波形应更稳定。 (二)Boost 变换电路实验 (1)电感电容的计算过程: 升压比M= S V V 0=D -11,0V =15V,S V =6V,解得D=60%; 纹波电压0.2%=s c f f D ? ,c f RC 1=,s f =40KHz,求得L=12uH,C=750uf 。 建立仿真模型如下:

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

电路仿真实验报告

本科实验报告实验名称:电路仿真

实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,

将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描

电子技术实验报告—实验4单级放大电路

电子技术实验报告 实验名称:单级放大电路 系别: 班号: 实验者姓名: 学号: 实验日期: 实验报告完成日期: ?

目录 一、实验目的 (3) 二、实验仪器 (3) 三、实验原理 (3) (一)单级低频放大器的模型和性能 (3) (二)放大器参数及其测量方法 (5) 四、实验内容 (7) 1、搭接实验电路 (7) 2、静态工作点的测量和调试 (8) 3、基本放大器的电压放大倍数、输入电阻、输出电阻的测量 (9) 4、放大器上限、下限频率的测量 (10) 5、电流串联负反馈放大器参数测量 (11) 五、思考题 (11) 六、实验总结 (11)

一、实验目的 1.学会在面包板上搭接电路的方法; 2.学习放大电路的调试方法; 3.掌握放大电路的静态工作点、电压放大倍数、输出电阻和通频带测量方法; 4.研究负反馈对放大器性能的影响;了解射级输出器的基本性能; 5.了解静态工作点对输出波形的影响和负载对放大电路倍数的影响。 二、实验仪器 1.示波器1台 2.函数信号发生器1台 3. 直流稳压电源1台 4.数字万用表1台 5.多功能电路实验箱1台 6.交流毫伏表1台 三、实验原理 (一) 单级低频放大器的模型和性能 1. 单级低频放大器的模型 单级低频放大器能将频率从几十Hz~几百kHz的低频信号进行不失真地放大,是放大器中最基本的放大器,单级低频放大器根据性能不同科分为基本放

大器和负反馈放大器。 从放大器的输出端取出信号电压(或电流)经过反馈网络得到反馈信号电压(或电流)送回放大器的输入端称为反馈。若反馈信号的极性与原输入信号的极性相反,则为负反馈。 根据输出端的取样信号(电压或电流)与送回输入端的连接方式(串联或并联)的不同,一般可分为四种反馈类型——电压串联反馈、电流串联反馈、电压并联反馈和电流并联反馈。负反馈是改变房卡器及其他电子系统特性的一种重要手段。负反馈使放大器的净输入信号减小,因此放大器的增益下降;同时改善了放大器的其他性能:提高了增益稳定性,展宽了通频带,减小了非线性失真,以及改变了放大器的输入阻抗和输出阻抗。负反馈对输入阻抗和输出阻抗的影响跟反馈类型有关。由于串联负反馈实在基本放大器的输入回路中串接了一个反馈电压,因而提高了输入阻抗,而并联负反馈是在输入回路上并联了一个反馈电流,从而降低了输入阻抗。凡是电压负反馈都有保持输出电压稳定的趋势,与此恒压相关的是输出阻抗减小;凡是电流负反馈都有保持输出电流稳定的趋势,与此恒流相关的是输出阻抗增大。 2.单级电流串联负反馈放大器与基本放大器的性能比较 电路图2是分压式偏置的共射级基本放大电路,它未引入交流负反馈。 电路图3是在图2的基础上,去掉射极旁路电容C e,这样就引入了电流串联负反馈。

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

《电力电子技术》实验报告-1

河南安阳职业技术学院机电工程系电子实验实训室(2011.9编制) 目录 实验报告一晶闸管的控制特性及作为开关的应用 (1) 实验报告二单结晶体管触发电路 (3) 实验报告三晶闸管单相半控桥式整流电路的调试与分析(电阻负载) (6) 实验报告四晶闸管单相半控桥式整流电路的研究(感性、反电势负载) (8) 实验报告五直流-直流集成电压变换电路的应用与调试 (10)

实验报告一晶闸管的控制特性及作为开关的应用 一、实训目的 1.掌握晶闸管半控型的控制特点。 2.学会晶闸管作为固体开关在路灯自动控制中的应用。 二、晶闸管工作原理和实训电路 1.晶闸管工作原理 晶闸管的控制特性是:在晶闸管的阳极和阴极之间加上一个正向电压(阳极为高电位);在门极与阴极之间再加上一定的电压(称为触发电压),通以一定的电流(称为门极触发电流,这通常由触发电路发给一个触发脉冲来实现),则阳极与阴极间在电压的作用下便会导通。当晶闸管导通后,即使触发脉冲消失,晶闸管仍将继续导通而不会自行关断,只能靠加在阳极和阴极间的电压接近于零,通过的电流小到一定的数值(称为维持电流)以下,晶闸管才会关断,因此晶闸管是一种半控型电力电子元件。 2.晶闸管控制特性测试的实训电路 图1.1晶闸管控制特性测试电路 3.晶闸管作为固体开关在路灯自动控制电路中的应用电路 图1.2路灯自动控制电路 三、实训设备(略,看实验指导书)

四、实训内容与实训步骤(略,看实验指导书) 五、实训报告要求 1.根据对图1.1所示电路测试的结果,写出晶闸管的控制特点。记录BT151晶闸管导通所需的触发电压U G、触发电流I G及导通时的管压降U AK。 2.简述路灯自动控制电路的工作原理。

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

电工电子技术实验报告

电工电子技术实验报告 学院 班级 学号 姓名 天津工业大学电气工程与自动化学院电工教学部 二零一三年九月

目录 第一项实验室规则------------------------------------------------------------------ i 第二项实验报告的要求------------------------------------------------------------ i 第三项学生课前应做的准备工作------------------------------------------------ii 第四项基本实验技能和要求----------------------------------------------------- ii 实验一叠加定理和戴维南定理的研究------------------------------------------ 1实验二串联交流电路和改善电路功率因数的研究--------------------------- 7实验三电动机的起动、点动、正反转和时间控制--------------------------- 14实验四继电接触器综合性-设计性实验----------------------------------------20 实验五常用电子仪器的使用---------------------------------------------------- 22实验六单管低频电压放大器---------------------------------------------------- 29实验七集成门电路及其应用---------------------------------------------------- 33 实验八组合逻辑电路------------------------------------------------------------- 37实验九触发器及其应用---------------------------------------------------------- 40 实验十四人抢答器---------------------------------------------------------------- 45附录实验用集成芯片---------------------------------------------------------- 50

实验三 Matlab的数字调制系统仿真实验(参考)

成都理工大学实验报告 课程名称:数字通信原理 姓名:__________________学号:______________ 成绩:____ ___ 实验三Matlab的数字调制系统仿真实验(参考) 1 数字调制系统的相关原理 数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,主要讨论二进制的调制与解调,简单讨论一下多进制调制中的差分相位键控调制(M-DPSK)。 最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK 和2-DPSK)。下面是这几种调制方式的相关原理。 1.1 二进制幅度键控(2-ASK) 幅度键控可以通过乘法器和开关电路来实现。载波在数字信号1 或0 的控制下通或断,在信号为1 的状态载波接通,此时传输信道上有载波出现;在信号为0 的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端我们就可以根据载波的有无还原出数字信号的1 和0。 幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断,此时又可称作开关键控法(OOK)。多电平MASK调制方式是一种比较高效的传输方式,但由于它的抗噪声能力较差,尤其是抗衰落的能力不强,因而一般只适宜在恒参信道下采用。 2-ASK 信号功率谱密度的特点如下: (1)由连续谱和离散谱两部分构成;连续谱由传号的波形g(t)经线性调制后决定,离散谱由载波分量决定; (2)已调信号的带宽是基带脉冲波形带宽的二倍。 1.2 二进制频移键控(2-FSK) 数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK

三相桥式全控整流电路实验报告

三相桥式全控整流电路实 验报告 Prepared on 24 November 2020

实验三三相桥式全控整流电路实验 一.实验目的 1.熟悉MCL-18, MCL-33组件。 2.熟悉三相桥式全控整流电路的接线及工作原理。 二.实验内容 1.MCL-18的调试 2.三相桥式全控整流电路 3.观察整流状态下,模拟电路故障现象时的波形。 三.实验线路及原理 实验线路如图3-12所示。主电路由三相全控整流电路组成。触发电路为数字集成电路,可输出经高频调制后的双窄脉冲链。三相桥式整流电路的工作原理可参见“电力电子技术”的有关教材。 四.实验设备及仪器 1.MCL—Ⅱ型电机控制教学实验台主控制屏。 2.MCL-18组件 3.MCL-33组件 4.MEL-03可调电阻器(900) 6.二踪示波器 7.万用表 五.实验方法 1.按图3-12接线,未上主电源之前,检查晶闸管的脉冲是否正常。 (1)打开MCL-18电源开关,给定电压有电压显示。

(2)用示波器观察MCL-33的双脉冲观察孔,应有间隔均匀,相互间隔60o 的幅度相同的双脉冲。 (3)用示波器观察每只晶闸管的控制极、阴极,应有幅度为1V —2V 的脉冲。注:将面板上的Ublf 接地(当三相桥式全控整流电路使用I 组桥晶闸管VT1~VT6时),将I 组桥式触发脉冲的六个琴键开关均拨到“接通”, 琴键开关不按下为导通。 (4)将给定输出Ug 接至MCL-33面板的Uct 端,在Uct=0时,调节偏移电压Ub ,使=90o 。(注:把示波器探头接到三相桥式整流输出端即U d 波形, 探头地线接到晶闸管阳极。) 2.三相桥式全控整流电路 (1) 电阻性负载 按图接线,将Rd 调至最大450 (900并联)。 三相调压器逆时针调到底,合上主电源,调节主控制屏输出电压U uv 、U vw 、U wu ,从0V 调至70V(指相电压)。调节Uct ,使 在30o ~90o 范围内变化,用示波器观察记录=30O 、60O 、90O 时,整流电压u d =f (t ),晶闸管两端电压u VT =f (t )的波形,并记录相应的Ud 和交流输入电压U 2 数值。 30° 60° 90° 3.电感性负载 按图线路,将电感线圈(700mH)串入负载,Rd 调至最大(450)。 调节Uct ,使 在30o ~90o 范围内变化,用示波器观察记录=30 O 、60O 、90O 时,整流电压u d =f (t ),晶闸管两端电压u VT =f (t )的波形,并记录相应的Ud 和交流输入电压U 2 数值。 30° 60° 90°

数字电路仿真实验报告

数字逻辑与CPU 仿真实验报告 姓名: 班级: 学号:

仿真实验 摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。 一、组合逻辑电路的分析与设计 1、实验目的 (1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。 (2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。 (3)熟悉字信号发生器、逻辑分析仪的使用方法。 2、实验内容和步骤 (1)采用逻辑分析仪进行四舍五入电路的设计 ①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。 ②在仪表工具栏中跳出逻辑变换器XLC1。 图1-1 逻辑变换器以及其面板 ③双击图标XLC1,其出现面板如图1-1所示 ④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依 次得到0、1、x状态)。 ⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、 电路图及非门实现的逻辑电路。 ⑥记录不同的转换结果。

(2)分析图1-2所示代码转换电路的逻辑功能 ①运行Multisim,新建一个电路文件,保存为代码转换电路。 ②从元器件库中选取所需元器件,放置在电路工作区。 ?从TTL工具栏选取74LS83D放置在电路图编辑窗口中。 ?从Source库取电源Vcc和数字地。 ?从Indictors库选取字符显示器。 ?从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设 置改为A。后面同理,分别改为B、C、D。 图1-2 代码转换电路 ③将元件连接成图1-2所示的电路。 ④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填 入表1-1中。 ⑤说明该电路的逻辑功能。 表1-1 代码转换电路输入输出对应表

电子技术实验报告

电子技术实验报告 一、元器件认识 (一)、电阻 电阻元件的的标称阻值,一般按规定的系列值制造。电阻元件的误差有六级,对应的标称值系列有E192、E96、E12和E6。电阻在电路中的主要作用为分流、限流、分压、偏置等。 电阻器的标称值和误差等级一般都用数字标印在电阻器的保护漆上。但体积很小的和一些合成的电阻器其标称值和误差等级常以色环的方便之处,能清楚地看清阻值,便于装配和维修。 电阻色码图 颜色黑棕红橙黄绿蓝紫灰白金银本色对应0 1 2 3 4 5 6 7 8 9 / / / 数值 4 567890123对应/ / / 10 10 10 10 10 10 10 10 10 10 n10 方 次 表示/ +1% +2% / / +0.5% +0.25% +0.1% / / +5% +10& +20% 误差-1% -2% -0.5% -0.25% -0.1% -5% -10% -20% 值 色环表示方法有两种形式,一种是四道环表示法,另外一种是五道环表示法。 四道色环:第1,2色环表示阻值的第一、第二位有效数字,第3色环表示两位n数字再乘以10 的方次,第4色环表示阻值的误差。五道色环:第1,2,3色环

n表示阻值的3位数字,第4色环表示3位数字再乘以10的方次,第5色环表示阻值的误差。 ,二,电容值识别 电容在电路中一般用“C”加数字表示(如C13表示编号为13的电容).电容是由两片金属膜紧靠,中间用绝缘材料隔开而组成的元件.电容的特性主要是隔直流通交流. 电容容量的单位为皮法(pf)或(uf),大多数电容的容量值都印其外封装上,主要有两种识别方法,一种是直接识别方法,例如220UF就是220uF,4n7就是 4.7nF;另一种是指数标识,一般以数值乘以倍率表示,倍率值一般用最后 3一位数字表示,单位为pf。比如103,表示容量为10*10pf,即0.01uf;而224表示容量为22*10000pf,即0.22uf;331,表示容量为33*10pf,即330pf。误差用字母表示。“k”表示误差额为10%,“j”表示误差额为5%。而字母“R”可用于表示小数点,例如3R3=3.3 1 (三)用万用表测试半导体二极管 将一个PN结加上正负电极引线,再用外壳封装就构成半导体二极管。由P区引出的电极为正(或称阳极),由N区引出的电极为负极(或称阴极)。 (1) 鉴别二极管的正,负极电极 用万用表表测量二极管的极性电路图,黑表棒接内部电池正极,红表棒接内部电池负极。测量二极管正向极性时按“A”连接,万用表的欧姆档量程选在R*10档。若读数在几百到几百千欧以下,表明黑表棒所接的一段为二极管的正极,二极管正向导通,电阻值较小;若读数很大,则红表棒所接的一端是二极管的正极,此时二极管反向截止。二极管的基本特性是单向导电性。 (四)用万用表测试小功率晶体三极管

杭电电力电子技术实验报告

电力电子技术实验报告班级: 学号: 姓名: 指导老师:余善恩、孙伟华 实验名称:锯齿波同步移相触发电路及单相半波可控整流 三相桥式全控整流及有源逆变电路实验

实验一锯齿波同步移相触发电路及单相半波可控整流一、实验目的 1.加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2.掌握锯齿波同步触发电路的调试方法。 3.对单相半波可控整流电路在电阻负载及电阻电感负载时工作情况作全面分析。 4.了解续流二极管的作用。 二、实验内容 1.锯齿波同步触发电路的调试。 2.锯齿波同步触发电路各点波形观察,分析。 3.单相半波整流电路带电阻性负载时特性的测定。 4.单相半波整流电路带电阻—电感性负载时,续流二极管作用的观察。 三、实验线路及原理 锯齿波同步移相触发电路主要由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其原理图如图1-1所示。 主电路 (a) (b)锯齿波同步移相触发电路 图1-1 单相半波可控整流电路 由V3、VD1、VD2、C1等元件组成同步检测环节,其作用是利用同步电压U T来控制锯齿波产生的时刻及锯齿波的宽度。由V1、V2等元件组成的恒流源电路,当V3截止时,恒流源对C2充电形成锯齿波;当V3导通时,电容C2通过R3、V3放电;调节电位器RP1可以调节恒流源的电流大小,改变对电容的充电时间,从而改变了锯齿波的斜率;控制电压U ct、偏移电压U b和锯齿波电压在V5基极综合叠加,从而构成移相控制环节,RP2、RP3分别调节控制电压U ct和偏移电压U b的大小;V6、V7构成脉冲形成放大环节,C5为强触发电容用于改善脉冲的前沿,由脉冲变压器输出触发脉冲。

相关文档
最新文档