计算机组成原理课程设计

计算机组成原理课程设计
计算机组成原理课程设计

课程设计说明书计算机组成原理课程设计

院系:计算机科学与工程学院

专业班级:

学号:

学生姓名:

指导教师:

2014年12月26日

安徽理工大学课程设计(论文)任务书

2014年12月1日

安徽理工大学课程设计(论文)成绩评定表

摘要

计算机组成原理课程设计课题是基本模型机的设计与实现。利用CPU与简单模型机来实现计算机组成原理课程设计,编写指令的应用程序,用微程序控制器实现一系列的指令功能,最终达到将理论与实践相联系。本次设计完成了相关指令的格式以及编码的设计,实现了机器指令微代码,完成具有一定功能的程序。

本次课程设计的题目是输入一个16位的数,对其进行循环左移。通过设计流程图,编写机器指令,微指令和控制信号程序。首先向存储器中装入数据和程序,然后检查写入是否正确,启动程序执行。另外,还需设计微程序:本课程设计要求实现机器指令:MOVV(传送),RU(输入),HUO(逻辑或),JIA(加法运算),JIAC(带进位的加法),TZ(判断A寄存器里的内容是否为零),TIAO(跳转)。以上各微指令设计完毕后,用设计好的指令实现逻辑运算,连接线路在CPTH计算机组成原理教学实验箱运行程序,并将实验结果显示输出。

本次课程设计偏重于对计算机工作的原理和计算机微机的指令系统学习和深入的了解。对以后的学习打下一个好的基础。这一课题的实现不仅使我们对各种微指令有了熟练的掌握,更对以后的学习、工作中有深远的影响。

关键词:微指令,机器指令,循环左移

目录

1原理介绍..................................... 错误!未定义书签。

cpu功能与原理.................................................. 错误!未定义书签。

指令周期........................................................ 错误!未定义书签。

微程序控制...................................................... 错误!未定义书签。

微程序控制原理.................................................. 错误!未定义书签。

微程序控制器.................................................... 错误!未定义书签。2实验环境介绍................................. 错误!未定义书签。

设计背景分析.................................................... 错误!未定义书签。

设计目的与要求.................................................. 错误!未定义书签。

3 系统设计..................................... 错误!未定义书签。

.不带进位的循环左移............................................. 错误!未定义书签。

机器指令集的编写与功能.......................................... 错误!未定义书签。

程序系统分析.................................................... 错误!未定义书签。

程序微指令分析.................................................. 错误!未定义书签。

实验结果........................................................ 错误!未定义书签。

4 实验总结..................................... 错误!未定义书签。

设计体会....................................................... 错误!未定义书签。

系统改进....................................................... 错误!未定义书签。

5 参考文献..................................... 错误!未定义书签。

1原理介绍

cpu功能与原理

数据缓存寄存器(DR)数据缓存寄存器用来暂时存放ALU的运算结果,或由数据存储器读出的一个数据字,或来自外部接口的一个数据字。

指令寄存器(IR)指令寄存器用来保存当前正在执行的一条指令,当执行一条指令时,先把它从指令cache存储器读出,然后再传送至指令寄存器。

程序计数器(PC)为了保证程序能够连续的执行下去,CPU必须具有某些首段来确定下一条指令的地址。

数据地址寄存器(AR)数据地址寄存器用来保存当前CPU所访问的cache存储器单元的地址.通用寄存器(R0~R3)当算术逻辑单元执行算术或逻辑运算时,为ALU提供一个工作区。

状态字寄存器(PSW)状态字寄存器保存由算数指令和逻辑指令运算或测试结果建立的各种条件代码。

指令周期

计算机所以能自动的工作,是因为CPU能从存放程序的内存里取出一条指令并执行这一条指令。例如MOV指令的指令周期,MOV是一条RR型指令,它需要两个CPU周期,其中一个是取指周期,一个是执行周期。

取指周期中完成三件事:从指存取出指令,对程序计数器加1,以便为取下条指令做好准备,对指令操作码进行译码或测码。

执行周期操作控制器送出控制信号到通用寄存器,操作控制器送出控制信号到ALU,指定ALU做传送工作,操作控制器送出控制信号,打开ALU输出三态门,操作控制信号送出控制信号,将DBUS上的数据打入到数据缓冲寄存器中,操作控制信号送出控制信号,将数据韩冲寄存器打入到目标寄存器中。

微程序控制

微程序控制器同硬布线控制器相比较,具有规整性,灵活性,可维护性等一系列优点,在计算机系统中,微程序设计技术是利用软件方法来设计的一门技术。

微程序控制思想,就是仿照通常的解题程序方法,把操作控制信号编程所谓的微指

令,存放到一个只读存储器中,当机器运行时,有一条一条的读出这些微指令,从而产生全机所需要的各种操作控制信号,使相应的部件执行所规定的操作呢。由于数据通路的结构关系,微操作可分为相容的和互斥的两种:互斥的微操作,是指不能同时或不能在同一个节拍内并行执行的微操作。相容的微操作,是指能够同时或在同一个节拍内并行执行的微操作。系列微指令的有序集合就是微程序。

一段微程序对应一条机器指令。微地址:存放微指令的控制存储器的单元地址。

微程序控制原理

控制存储器(UCM):这是微程序控制器的核心部件,用来存放微程序。其性能(包括容量、速度、可靠性等)与计算机的性能密切相关。

微指令寄存器(UIR):存放从UCM取出的正在执行的微指令,它的位数同微指令字长相等。

微地址形成部件:用来产生初始微地址和后继微地址,以保证微指令的连续执行。

微地址寄存器(UMAR) :它接受微地址形成部件送来的微地址,为下一步从μCM中读取微指令作准备。

微程序控制器

模型机作为一个整体来工作的,所有的微程序控制信号由微程序存储器UM输出,而不是由开关输出,在进行试验之前,先用8芯电缆接J1和J2,是系统处于非手动状态,这样试验仪的监控系统会自动打开uM的输出允许,由软件控制程序实现单指令执行,单微指令执行等,设计微指令应当追求的目标,有利于缩短微指令的长度,有利于缩小CM的容量,有利于提高微程序的执行速度。

2实验环境介绍

设计背景分析

2.1.1 模型机指令集分析

本次课程设计是在DJ-CPT816计算机组成原理实验仪和仿真软件上进行的。

该模型机的指令码为8位,根据指令类型的不同,可以有0到2个操作数,指令码的最低两位用来选择R0-R3寄存器。在微程序控制方式下,用指令码作为微地址来寻址微程序存储器,找到执行该指令的微程序。在本模型机中,一条指令最多分为四个状态周期,一个状态周期为一个时钟脉冲,每个状态周期产生不同的控制逻辑,实现模型机的各种功能。模型机有24位控制位以控制寄存器的输入输出,选择运算器的运算功能,存储器的读写。

简言之,这次设计,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期,全部由微指令组成的序列来完成,即一条机器指令对应一条微程序,一条微程序又有若干微指令组成,一条微指令的功能由24位操作信号(即控制位)实现。

24位控制位分别介绍如下:

XRD:外部设备读信号,当给出个外设的地址后,输出此信号,从指定外设读数据。

EMWR:程序存储器EM写信号

EMRD:程序存储器EM读信号

PCOE:将程序计数器PC的值送到地址总线ABUS上

EMEN:将程序存储器EM与数据总线DBUS接通,有EMWR和EMRD决定是将DBUS数据写入EM中,还是从EM读出数据到DBUS

IREN:将程序存储器EM独处的数据打入指令寄存器IR和微指令计数器uPC

EINT:中断返回时清除中断响应和中断请求标志,便于下次中断。

ELP:PC打入允许,与指令寄存器的IR2.,IR3位结合,控制程序跳转。

MAREN:将数据总线DBUS上数据打入地址寄存器MAR

MAROE:将地址寄存器MAR的值送到地址总线ABUS上

OUTEN:将数据总线DBUS上数据送到输出端口寄存器OUT里

STEN:将数据总线DBUS上数据存到堆栈寄存器里

RRD:读寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定

RWR:写寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定

CN:决定运算器是否带进位移位,CN=1带进位,CN=0不带进位

FEN:将标志位存入ALU内部的标志寄存器

X2,X1,X0三位组合来译码选择将数据送到DBUS上的哪个寄存器,见表2-1

WEN:将数据总线DBUS的值打入工作寄存器W中

AEN:将数据总线DBUS的值打入累加器A中

S2,S1,S0三位组合决定ALU做何种运算,见表2-2

2.1.2 模型机寻址方式分析

模型机的寻址方式分四种:

(1)累加器寻址:操作数为累加器A,例如“CPL A”是将累加器A 值取反,还有

些指令是隐含寻址累加器A,例如“OUT”是将累加器A的值输出到输出端口寄存器OUT。

(2)寄存器寻址:参与运算的数据在R0-R3 的寄存器中,例如“ADD A,R0”指令是将寄存器R0 的值加上累加器A的值,再存入累加器A中。

(3)存储器直接寻址:参与运算的数据在存储器EM 中,数据的地址为指令的操作数。例如“AND A,40H”指令是将存储器EM 中40H 单元的数据与累加器A的值做逻辑与运算,结果存入累加器A。

(4)立即数寻址:参与运算的数据为指令的操作数。例如“SUB A,#10H”是从累加器A中减去立即数10H,结果存入累加器A。

设计目的与要求

(1)在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本模型计机。(2)为其定义机器指令,并编写相应的微程序,具体上机调试掌握整机概念。

(3)掌握微程序控制器的组成原理。

(4)编写自己的指令集,并用此指令集来实现编程

(5)掌握微程序的编写、写入,观察微程序的运行。

(6)通过课程设计,使学生将掌握的计算机组成基本理论应用于实践中,在实际操作中加深对计算机各部件的组成和工作原理的理解,掌握微程序计算机中指令和微指令的编码方法,深入理解机器指令在计算机中的运行过程。

本课程设计要求实现机器指令:MOVV(传送),RU(输入),HUO(逻辑或),JIA(加法运算),JIAC(带进位的加法),TZ(判断A寄存器里的内容是否为零),TIAO(跳转)。

要包括直接寻址,立即数寻址,寄存器寻址,间接寻址四种寻址方式。最后要在设计的指令系统基础上,编写程序实现16位数不带进位的循环左移。

3 系统设计

.不带进位的循环左移

16位数的循环左移原理图3-1如下:

程序设计思路:一个16位数不带进位的循环左移,相当于该数与自身相加,程序流程图3-2如下:

图3-2 程序框图

机器指令集的编写与功能

(1)按照系统分析中得到的指令集,在DJ-CPT816实验软件中新建指令系统,生成.mac文件和.mic文件;

(2)在DJ-CPT816实验软件中新建.asm文件,输入程序:

LOOP1:

RU

MOVV R1,A

RU

MOVV R0,A

LOOP:

MOVV A,R0

JIA A,R0

MOVV R0,A

MOVV A,R1

JIAC A,R1

MOVV R1,A

HUO A,R0

TZ LOOP1

TIAO LOOP

程序系统分析

(1)程序开始执行一条取指的微指令,读入程序第一条指令。

LOOP1:

RU LOOPsm文件,再汇编成机器码,调试窗口会显示出程序、机器码、反汇编指令,见表3-1。

程序微指令分析

将程序在DJ-CPT816软件上单步运行结束后可得微指令跟踪图如下表3-2所示:

实验结果

测试数据: 高八位R1 低八位R0 30H 80H

左移一位 61H 00H

左移两位 C2H 00H

左移三位 84H 00H

置开关k23-k16为00110000

R1中输入30H

K23-k16开关置为

R0中输入80H

(如图3-3所示)

图 3-3:R1输入30H,R0输入80H

将16位数左移一位得到的16位数为6100H(如图3-4所示):

图 3-4:16位数左移,结果为6100H

再分别将R1和R0中的数左移一位,此时16位数为C200H(如图3-5所示:)

图 3-5:R1,R0左移,结果为8400H

再分别将R1和R0中的数左移一位,此时16位数为C200H(如图3-6所示)

图 3-6:R1,R0左移,结果为8400H

4 实验总结

设计体会

本次课程设计我们做的题目是输入一个16位的数,对其进行循环左移。刚拿到这个题目时我们小组就进行了商讨,分配每个人的任务,我的任务是:设计指令集,并且检查她们所写代码实现的功能。在设计指令集的过程中,我对指令周期和24位控制位做了深入的了解,学到了好多知识,学会了怎么设计一条程序指令以达到相应的功能。

在这次课程设计我遇到了好多问题,由于是第一次接触新建指令系统,其中很多地方都不懂,后来通过查找资料,自己琢磨,问老师和还有大家一起讨论才逐渐有了思路。在设计指令的过程中,有时候会遇到一些小问题,但通过与其他组同学的讨论终于使问题得到了解决。创建新的指令系统需要知道每条指令需要几个状态周期来完成,以及实现相应功能的微程序应该怎么设计,还要考虑要怎么设计才能达到精简指令的目的。

这次课程设计之所以能够成功与我们组员的相互合作有很大的关系,这次刚拿到题目时,我们小组分析了一下实验的要求,将我们六个人分成三个小组,每个小组负责一项任务,各个小组紧密配合,遇到问题时大家互相讨论找出最佳的解决方案,最终使任务得以圆满的完成。另外在这一次的课题设计中,当自己创建的指令集能够成功运行时,我体会到了成功的喜悦和极大的成就感,通过对系统的跟踪测试,自己的动手和实践能力都有了一定的提高,也让我更加喜欢去自己动手做实验了。

系统改进

本次指令设计,我们利用指令流水操作,进行了指令的精简设计,其中

RU指令的取值操作和输出操作可并行执行,精简后该指令为1个状态周期。

MOVV A,R?指令,由于预指操作和数据总线可并行工作,该指令只有一个状态周期。例如:

改进前:改进后:

RU T1 24 FFFF17 RU T0 24 CBFF17

T0 55 CBFFFF

MOVV A,R? T1 70 FFF7F7 MOVV A,R? T0 70 CBF7F7

T0 71 CBFFFF

5 参考文献

[1]张昆藏.计算机系统结构.北京:科学出版社,1994

[2]著平玲娣,潘雪增.《计算机组成与设计》.浙江:浙江大学出版社,2004

[3]白中英.计算机组成原理(第二版).北京:科学出版社,1998

[4]DJ-CPTH超强型计算机组成原理与系统结构实验指导书

[5]陈泽宇,《计算机组成与系统结构》.清华大学出版社

[6]李敬兆,《汇编语言》.中国科学技术大学出版社

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

计算机组成原理实验1-汇编语言实验

微处理器与接口技术 实验指导

实验一监控程序与汇编语言程序设计实验 一、实验要求 1、实验之前认真预习,明确实验的目的和具体实验内容,设计好主要的待实验的程序,做好实验之前的必要准备。 2、想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果。 3、在教学实验过程中,要爱护教学实验设备,认真记录和仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。 4、实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。 二、实验目的 【1】学习和了解TEC-XP16教学实验系统监控命令的用法; 【2】学习和了解TEC-XP16教学实验系统的指令系统;

【3】学习简单的TEC-XP16教学实验系统汇编程序设计。 三、实验注意事项 (一)实验箱检查 【1】连接电源线和通讯线前TEC-XP16实验系统的电源开关一定要处于断开状态,否则可能会对TEC-XP16实验系统上的芯片和PC机的串口造成损害。 【2】五位控制开关的功能示意图如下: 【3】几种常用的工作方式【开关拨到上方表示为1,拨到下方为0】 (二)软件操作注意事项 【1】用户在选择串口时,选定的是PC机的串口1或串口2,而不是TEC-XP16实验系统上的串口。即选定的是用户实验时通讯线接的PC机的端口; 【2】如果在运行到第五步时没有出现应该出现的界面,用户需要检查是不是打开了两个软件界面,若是,关掉其中一个再试; 【3】有时若TEC-XP16实验系统不通讯,也可以重新启动软件或是重新启动PC再试; 【4】在打开该应用软件时,其它的同样会用到该串口的应用软件要先关掉。

南京信息工程大学雷达气象学期末复习重点

测雨——厘米波雷达(微波雷达) ? 测云——毫米波雷达 ? 测风——风廓线雷达 ? 测气溶胶——激光雷达? 测温——声雷达 气象雷达的分类 (1)按照工作原理:常规天气雷达,多普勒天气雷达,偏振天气雷达,等。 (2)按照雷达工作波段:X 波段,C 波段,S 波段,L 波段,Ka 波段,等。 ! (3)按照安装平台:固定式,车载移动式,船载式,机载式,星载式,等。 天线方向:在极坐标中绘出的通过天线水 ?平和垂直面上的能流密度的相对分布曲线图。天气雷达的天线具有很强的方向性,它所辐射的功率集中在波束所指的方向上。 天线增益:辐射总功率相同时,定向天线在最大辐射方向上的能流密度与各向均匀辐射的天线的能流密度之比。G=10*lg (S 定向/S 各项均匀) 新一代天气雷达系统结构概述 构成:发射机,天线,接收机和信号处理器。 ? 主要功能:产生和发射射频脉冲,接收目标物对这些脉冲的散射能量,并通过数字化形成基数据。 雷达数据采集子系统(RDA )雷达产品生成子系统(RPG )主用户处理器(PUP ) 散射现象:当电磁波传播遇到空气介质和云、降水粒子时,入射的电磁波会从这些质点向四面八方传播相同频率的电磁波,称为散射现象。 — 散射过程:入射电磁波使粒子极化,正负电荷中心产生偏移而构成电偶极子或多极子,并在电磁波激发下作受迫振动,向外界辐射电磁波,就是散射波。 单个球形粒子的散射 定义无量纲尺度参数:α=2πr/λ 当α<<1时:Rayleigh 散射,也称分子散射。如空气分子对可见光的散射。 当<α<50:Mie 散射。如大气中的云滴对可见光的散射。 当α>50:几何光学:折射。如大雨滴对可见光的折射、反射,彩虹等光现象。 思考:对于3cm 和10cm 雷达遇到半径0.1cm 的雨滴发生哪种散射 瑞利散射:方向函数的具体形式:当雷达波是平面偏振波时,瑞利散射在球坐标中的 ! 方向函数为:()() ??θλπ?θβ2 222 2 2464sin cos cos 2 116,++-=m m r 当入射雷达波长一定,散射粒子的大小和相态一定(即r 、m 为常数),则: ()()??θ?θβ222sin cos cos ,+=C 米散射:单个球形粒子的散射 Rayleigh 散射与Mie 散射不同点: Rayleigh :前后向散射相等,侧向散射为零。 Mie :散射前向大于后向散射,α越大向前散射所占比越大,侧向散射不为零。 关系: \

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

计算机组成原理实验题

一.这是一个判断某一年是否为润年的程序,运行可执行程序Ifleap.exe后,输入具体的年份,可输出是本年是否为闰年的提示信息。 DATA SEGMENT ;定义数据段 INFON DB 0DH,0AH,'PLEASE INPUT A YEAR: $' ;声明空间存储输入提示信息,其中0d回车,0a换行 Y DB 0DH,0AH,'THIS IS A LEAP YEAR! $' ;声明空间存储是闰年提示信息,同上另起一行输出 N DB 0DH,0AH,'THIS IS NOT A LEAP YEAR! $' ;声明空间存储不是闰年提示信息,同上另起一行输出 W DW 0 ;声明空间存储输入年份解析后生成的年份数字 BUF DB 8 DB ? DB 8 DUP(?) ;声明空间作为缓冲区,总共10个字节,第一个表示准备接受的字DATA ENDS STACK SEGMENT STACK DB 200 DUP(0) STACK ENDS ;定义一个栈,200字节 CODE SEGMENT ASSUME DS:DATA,SS:STACK,CS:CODE START:MOV AX,DATA MOV DS,AX ;指定堆栈 LEA DX,INFON ;在屏幕上显示提示信息 MOV AH,9 INT 21H ;将infon开始的字符串输出到屏幕 LEA DX,BUF ;从键盘输入年份字符串 MOV AH,10 INT 21H MOV CL, [BUF+1] ;获取实际输入长度 LEA DI,BUF+2 ;获取字符串首地址 CALL DATACATE ;调用子程序,将输入字符串传化为年份数字 CALL IFYEARS ;调用子程序,判断是否闰年 JC A1 ;如果进位标记C为1则跳转到a1

南京信息工程大学卫星气象学期末复习

一、名词解释 倾角:卫星轨道平面与赤道平面间的夹角。 截距:卫星绕地球一周地球转过的度数,截距是连续两次升交点之间的经度差。 空间分辨率:是指卫星在某时刻观测到地球的最小面积亦即指遥感影像上能够识别的两个相邻地物的最小距离。(像元,物象点,像素) 亮度温度:若实际物体在某一波长下的光辐射度(即光谱辐射亮度) 与绝对黑体在同一波长下的光谱辐射度相等,则黑体的温度被称为实际物体在该波长下的亮度温度 反照率:目标地物的反射出射度与入射度之比,即单位时间、单位面积上各方向出射的总辐射能量(M)与入射的总辐射能量(E)之比。 结构型式:指目标物对光的不同强弱的反射或其辐射的发射所形成的不同明暗程度物像点的分布式样。 纹理:表示云顶表面或其他物象表面光滑起伏程度的判据。 二、选择题 1、如果卫星是前进轨道,则卫星运行方向是西南→东北(正面),西北→东南(背面) 如果卫星是后退轨道,则卫星运行方向是东南→西北(正面),东北→西南(背面) 2、卫星轨道的形状决定于:入轨速度与方向,方向与地面平行 3、若有一张冬季的云图上中高纬度地区色调较暗(浅),则这一张云图一定是可见光云图(红外),其原因是太阳高度角低,与太阳辐射有关。 4、如果在可见光云图上呈白色,红外云图上呈灰色,这目标物可能是中云。 如果在可见光云图上呈灰色,红外云图上呈白色,这目标物可能是卷云。 如果在可见光云图上呈白色,红外云图上呈暗色,这目标物可能是薄中云、层云和雾(低云)。 如果在可见光云图上呈白色,红外云图上呈白色,这目标物可能是Cb云(积雨云)。 如果在可见光云图上呈灰色,红外云图上呈黑色,这目标物可能是夏季沙漠。 如果在可见光云图上呈灰色,红外云图上呈灰色,这目标物可能是青藏高原。 如果在可见光云图上呈黑色,红外云图上呈黑色,这目标物可能是海洋暖水区。 如果在可见光云图上呈黑色,红外云图上呈浅,这目标物可能是海洋冷水区。 5、大范围云系的分布呈带状,且呈气旋性弯曲,这云带是冷锋云带。 大范围云系的分布呈云区,且云向北凸起,这云带是 暖锋云带。 大范围云系表现为平直云带,这云带是静止锋云带。 6、辐射率正确表示: Ωx=sinθcosφ 辐射吸收正确表达式: 光学厚度表达式:λ τa=?1s s a ds kρλ 1、太阳同步卫星轨道平面与太阳始终保持相对固定的 取向,为实现太阳同步轨道,必须采用倾角>90 ?? 的 2、静止气象卫星的倾角为0度,轨道平面与赤道平面重合,周期为地球自转周期23小时56分4秒。 3、卫星在0.58~0.68和0.725~1.10μm测量的是来自地面和云间反射的太阳辐射,其取决于太阳天顶角和物体反照率。 4、卫星仪器在10.5~12.5μm测量的是来自目标物自身发射的辐射,将测量的辐射转换为图象,其色调越暗,表示辐射越强,温度越高。可以用于估计地面、云面的温度分布 5、卫星在3.55~3.93μm白天测量的是来自反射的太阳辐射发出的和物体自身发射反射的辐射。可用于识别夜间雾和层云监测卷云 6、中云的型式有涡旋状、带状、线状、逗点状,其色调在红外图上呈中等程度的灰色,可见光云图上呈现为白色。 7、积雨云(Cb云)在可见光和红外云图上的色调最白,高空风大时,其呈近乎圆形;而高空风大时,其呈椭圆形,顶部出现卷云砧。 8、层云的边界光滑整齐清楚,纹理光滑均匀。 9、积云浓积云的边界不整齐不光滑,纹理多斑点多皱纹。 10、开细胞状云系呈指环状或U型,由积云浓积云组成,出现在地面气流呈气旋性弯曲的不稳定的冷气团内。 11、逗点云系可以认为是由于闭合气旋性环流与云区相迭加形成的,其头部与变形场气流相联系,尾部云带与高空槽前的西南气流相联系。 12、斜压叶云系的北界呈S形,其东部以卷云为主,越往西,云顶高度越低。 13、活跃的冷锋云系表现为一条连续完整呈气旋性弯曲的云带,其位于500百帕高空槽前的西南气流里。当冷锋云系前后边界不整齐时,地面冷锋的位置定在云带中云系由稠密到稀疏的地方。 14、暖锋云系长宽之比很小,顶部卷云覆盖。 15、静止锋云系没有云系弯曲,其前界常出现枝状云带云系。 16、锋面气旋云系在波动阶段时,云系向冷气团一侧凸起,中高云增多,云带变宽;发展阶段云系隆起越来越明显,其后界向云区内凹进,表明干冷空气开始侵入云气,在锢囚阶段时,出现明显的干舌,云带伸到气旋中心;成熟阶段螺旋云带围绕中心旋转一周以上,干舌伸到气旋中心,水汽来源切断。 17、高空急流云系以卷云为主,其左界光滑整齐,且与急流轴相平行。 1、如果卫星的倾角等于180o,试问实现卫星每隔4、6、8小时观测同一地点的卫星周期应是多少?8h 地球每小时转15,则4小时自转60,故卫星4小时绕地球转动300,故卫星每小时转75,T=360/75=4.8h 地球每小时转15,则6小时自转90,故卫星6小时绕地球转动270,故卫星每小时转45,T=360/45=8h

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

【期末复习】地理气象学与气候学期末试题及答案

《气象学与气候学》期末考查试题 班号学号姓名得分 一、填空题(共20分,每空0.5 分) 1、赤道辐合带是指在南北半球之间的内,由南北半球的信风汇合而形成的狭窄 的区,大体呈向分布,有强烈的活动。 2、我国规定,风是在以西,以北的上出现的热带气旋,中心风力在级以上,以 为单位,按编号,并在后加上热带风暴、台风等名称。 3、台风的中圈主要特点是、、。 4、影响天文辐射的因素有、、。 5、海陆风主要是由于而产生的,多出现在地区。 6、著名的布拉风区在黑海北岸的。 7、纬度带分类法的划分原则是、、。 8、赤道多雨气候主要分布在、、。 9、副热带季风主要是受气团和气团的交替控制。 10、在北太平洋的低纬度地区,东西两部分别是和寒流。 11、地形雨主要出现在上,气旋雨主要出现在上。 12、洋流的主要作用是和。 13、热量平衡是指与其或之间的平衡。 14、副热带高压脊线北上,南撤的移动,属于全球性的,并且北进持续的时间比 较,速度;南撤的时间,速度。 二、单项选择题(每小题1分,共10分) 1、副热带高压中的雨带位于脊线以北的个纬度上。 () A.5-8 B.6-8 C.7-9 D.6-7 2、台风主要发生在°N(S)的洋面上。

() A.5-10 B.5-15 C.5-20 D.5-25 3、赤道辐合带中主要云系是。 () A.积雨云 B.高积云 C.卷云 D.积云 4、地面辐射差额在为负值。 () A.青藏高原 B.格棱兰 C.冰盖 D.寒流 5、南半球冬季赤道西风的方向是。 () A.东北 B.东南 C.西北 D.西南 6、纬度带分类法的创始人是。 () A.柯本 B.亚里斯多德 C.苏本 D.斯查勒 7、地中海式气候主要分布在°N(S)的大陆西岸。 () A.20-40 B.30-50 C.30-40 D.30-45 8、全球雪线最高的地区是。 () A.赤道 B.副热带 C.中纬度 D.极地 9、影响我国华东地区的台风路径是路。 () A.西 B.转向 C.双向 D.西北 10、赤道辐合带平均活动在之间。 () A.25°N - 25°S B.25°N - 10°S C.25°N - 15°S D.15°N - 25°S 三、判断题(请用“Y”表示正确,用“N”表示错误。每题1分,共10分。) 1、在北半球,有寒流经过的地区,其等温线向赤道凸。() 2、到达地面的太阳辐射的日总量最大值在赤道。 () 3、信风带大陆西岸的气候特点是高温、多雨。 () 4、美国东海岸40-60°N 范围内属于温带海洋性气候。() 5、柯本气候分类法忽视了高地气候与纬向气候的区别。() 6、青藏高原在夏季形成的环流加强了低纬度环流的强度。 () 7、在北半球低纬度洋流绕副热带高压作逆时针方向旋转。

南信大 计算机组成原理期末练习题

计算机组成原理薛胜军题库 第1章概述 一、选择题 o冯·诺依曼(Von Neumann)机工作方式的基本特点是。 A.指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存储器按内容选择地址 o下列描述中是正确的。 A.控制器能够识别、解释和执行所有的指令及存储结果 B.计算机主要由输入输出单元、控制器、存储器和算术逻辑单元构成 C.所有的数据运算都在控制器中完成 D.以上三者都正确 o计算机系统中的存储器系统是指。 A.RAM存储器; B.ROM存储器; C.主存储器; D.主存储器和外存储器。 o计算机科技文献中,英文缩写CAI代表。 A.计算机辅助制造 B.计算机辅助教学 C.计算机辅助设计 D.计算机辅助管理 o能够被计算机硬件直接识别的语言是。 A.汇编语言 B.高级语言 C.机器语言 D.应用语言o计算机软件分为两大类,他们是。 A.操作系统与应用软件 B.操作系统与系统软件 C.操作系统与CAD软件 D.系统软件与应用软件 o完整的计算机系统是由组成的。 A.主机与外设 B.CPU与存储 C.ALU与控制器 D.硬件系统与软件系统 o高级语言源程序不能直接在计算机上运行,需要有相应的语言处理程序翻译成程序后才能运行。 A.C语言 B.汇编语言 C.机器语言 D.宏汇编语言

o当前设计高性能计算机的重要技术途径是___。 A.提高CPU主频 B.扩大主存容量 C.采用非冯·诺依曼结构 D.采用并行处理技术 o下列体系结构中,最适合多个任务并行执行的体系结构是___。 A.流水线向量机结构 B.堆栈处理机结构 C.共享存储多处理机结构 D.分布存储多计算机结构 二、填空题 o电子计算机从诞生至今,按其工艺和器件特点,大致经历了四代。第一代从 A 年开始,采用 B ;第二代从 C 年开始,采用 D ;第三代从 E 年开始,采用 F ;第四代从 G 年开始,采 用 H 。 o计算机的硬件是指 A ,软件是指 B 。 o计算机将部分软件存储在只读存储器中,称之为 A 。 o将许多电子元件集成在一块芯片上称为 A 。 o系统软件是 A ,应用软件是 B ,操作系统是 C 。 o数据库是 A ,数据库管理系统是 B 。 o计算机系统结构的发展和演变看,早期的计算机是以 A 为中心的系统结构,而近代的计算机是以 B 为中心的系统结构。 o在下列常用术语后面,写出相应的中文名称: VLSI__ A ___MPP___ B___RISC____ C__DMA___ D__ o在由n台计算机构成的并行计算机中,其运行程序的加速比一般都小于n,其主要原因是___ A__和__ B____。 o在计算机系统当中,根据应用条件和硬件资源的不同,数据传输方式可以采用 A___传送、 B __传送和 C___传送三种方式。 o为了使计算机能够直接处理十进制形式的数据,采用 A___形式和 B___形式两种表示形式。前者主要用在 C___计算的应用领域。 o字符信息是_ A_ _数据,属于处理 B___领域的问题。国际上采用的字符系统是七单位的 C___码。 三、问答题 o冯·诺依曼计算机体系的基本思想是什么?按此思想设计的计算机硬件系统应由哪些部件组成? o计算机的系统软件和应用软件的作用是什么? o试说明冯·诺依曼计算机结构的特点。 o计算机一般有哪些分类方法? o试说明计算机的层次结构。 o简述计算机的工作特点。

计算机组成原理-实验一

《计算机组成原理-实验一》 实验报告 韶关学院数信学院 2010级信息与计算科学 2012年 9月

实验一:本实验分三部分: 1熟悉Linux常用命令(上) 2 Linux下程序设计基础(中) 3汇编语言与机器指令(下)(暂略) 实验一熟悉Linux常用命令(上) 一,实验要求: 1,熟练Linux下的常用命令. 2,熟练地操作linux. 二,实验内容: 练习使用Linux常用命令; 三,实验环境: windows7的vmware的Redhat。 四,Linux下常用命令实验操作:(以下命令若权限不够,要在命令前加sudo) 1. 写出下面命令每个部分含义,字符C表示命令(Command)、O表示选项(Option)、OA表示选项的参数(Option Argument)、CA表示命令的参数(Command Argument),如: C OOA O OA C A Answer $ lpr –Pspr –n 3 proposal.ps Command line Linux命令行的语法结构: $ command [[-]option(s)] [option argument(s)] [command argument(s)] 含义: ● $:linux系统提示符,您的linux系统可能是其他的提示符 ● Command :linux命令的名字 ● [[-]option(s)] :改变命令行为的一个或多个修饰符,即选项 ● [option argument(s)] :选项的参数 ● [command argument(s)] :命令的参数 1) ls -la convert.txt 2) more convert.txt 3) pwd 4) cat file1 file2 file3 5) rm -r temp 6) ping –c 3 https://www.360docs.net/doc/ef2768680.html, 7) telnet https://www.360docs.net/doc/ef2768680.html, 13 8) cc -o short short.c -lbaked 9) chmod u+rw file1.c 10) uname –n

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

气象学与气候学考试重点

09地信气象与气候学学复习资料(仅供参考) 一名词解释 1.气象学 P1 人类在长期的生产实践中不断地对它们进行观测、分析、总结,从感性认识提高到理性认识,再在生产实践中加以验证、修订、逐步提高,这就产生了专门研究大气现象和过程,探讨其演变规律和变化,并直接或间接用之于指导生产实践为人类服务的科学。 2.气候系统 P1 是一个包括大气圈、水圈、陆地表面、冰雪圈和生物圈在内的, 能够决定气候形成、气候分布和气候变化的统一的物理系统。 3.气候系统 P7 气候系统是一个包括大气圈、水圈、陆地表面、冰雪圈和生物圈在内的,能够决定气候形成、气候分布和气候变化的统一的物理系统。4.太阳常数 P25 就日地平均距离来说,在大气上界,垂直于太阳光线的1cm2 面积内,1min 内获得的太阳辐射能量,用I0 表示。 5.大气窗口 P32 气在整个长波段,除8—12μm 一段外,其余的透射率近于零,即吸收率为1。8—12μm 处吸收率最小,透明度最大。 6.大气的保温效应 P33 大气辐射指向地面的部分称为大气逆辐射。大气逆辐射使地面因放射

辐射而损耗的能量得到一定的补偿,由此可看出大气对地面有一种保暖作用。 7. 地面有效辐射 P33 地面放射的辐射(Eg)与地面吸收的大气逆辐射(δEa)之差。8.地面的辐射差额 P33 地面由于吸收太阳总辐射和大气逆辐射而获得能量,同时又以其本身的温度不断向外放出辐射而失去能量。某段时间内单位面积地表面所吸收的总辐射和其有效辐射之差值。 9. 气块绝热上升单位距离时的温度降低值,称绝热垂直减温率(简称绝热直减率)。对于干空气和未饱和的湿空气来说,则称干绝热直减率,以γd表示,即γ。其中表示某一气块。 P39 10.冰晶效应 P63 在云中,冰晶和过冷却水共存的情况是很普遍的,如果当时的实际水汽压介于两者饱和水汽压之间,就会产生冰水之间的水汽转移现象。水滴会因不断蒸发而缩小,冰晶会因不断凝华而增大。 11. 凝结增长 P63 云雾中的水滴有大有小,大水滴曲率小,小水滴曲率大。如果实际水汽压介于大小水滴的饱和水汽压之间,也会产生水汽的蒸发现象。小水滴因蒸发而逐渐变小,大水滴因凝结而不断增大。 12深厚系统浅薄系统 P92 暖性高压和冷性低压系统不仅存在于对流层低层,还可伸展到对流层高层,而且其气压强度随高度增加逐渐增强,称深厚系统。而暖性低

南信大计算机组成原理实验二报告

南京信息工程大学实验(实习)报告 实验(实习)名称在印刷电路板上实现元器件日期 2012.4.1得分指导教师马利 系计软院专业计科年级2010班次1班姓名学号 一、实验目的 1.初步了解印制电路板; 2.学会如何在印制电路板上布置元器件; 3.实现手动与自动布线。 二、实验内容 1.完成图纸设置(改图纸号); 2.添加元器件库; 3.用若干片2114组成2KX8位的存储器,译码器采用74LS138,CPU采用8088,地址锁 存器采用8282,数据缓存器采用8286; 4.绘出完整的原理图,并生成网络表; 5.在印纸电路板中进行元器件布置。 三、实验步骤 1.本实验的前四个步骤与第一次实验相同,下面是实验一的最终原理图; 2.在印制电路板上的进行元器件布置;

建立一个PCB文件,然后双击打开该文件。 3.选择KeepOutLayer; 在这一层上,画上一个6*6的正方形框

4.选择Design→Load Nets ,在PCB文件中导入网络表。

选择执行(EXcute),在PCB文件中生成印制板元件封装图。 然后将它们一个一个整齐的放入框中,放完之后的原理图如下; 5.布置电源线,一般横线在顶板TopLayer上,而竖线在底板BottomLayer上,然后布线。

6.上面的几步骤均为手动布线,现在进行自动布线,点击AutoRoute并且选择ALL,

7.执行之后的原理图。 8.保存工作空间后,关闭文件并退出。 四、实验总结 通过这一次的实验课,进一步了解了该软件的操作流程,更是在上一次的基础上学会了手动布线跟自动布线,学会了不少东西啊。

计算机组成原理课程设计

计算机组成原理课程设 计 WTD standardization office【WTD 5AB- WTDK 08- WTD 2C】

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部):计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩: 湖南工业大计算机学院 目录 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流

量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 19xx年,又出现了带控制的红绿灯和红外线红绿灯。带控制的 4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉

计算机组成原理

吉林大学网络教育学院2019-2020学年第一学期期末考试《计算机组成原理》大作业 学生姓名专业 层次年级学号 学习中心成绩

年月日 作业完成要求:大作业要求学生手写,提供手写文档的清晰扫描图片,并将图片添加到word 文档内,最终wod文档上传平台,不允许学生提交其他格式文件(如JPG,RAR等非word 文档格式),如有雷同、抄袭成绩按不及格处理。 一、简答题(每小题10分,共70分) 1、基本的软件系统包括哪些内容? 2、计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 3、冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 4、早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 5、什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 6、按其任务分,总线有哪几种类型?它们的主要作用是什么? 7、计算机的主要特点是什么? 二、计算题(每小题15分,共30分) 1、某8位计算机采用单总线结构,地址总线17根(A16~0,A16为高位),数据总线8根双向(D7~0),控制信号R/W(高电平为读,低电平为写)。 已知该机存储器地址空间从0连续编址,其地址空间分配如下:最低8K为系统程序区,由ROM芯片组成;紧接着40K为备用区,暂不连接芯片;而后78K为用户程序和数据空间,用静态RAM芯片组成;最后2K用于I/O设备(与主存统一编址)。现有芯片如下:SRAM:16K×8位,其中CS:为片选信号,低电平有效,WE:为写控制信号,低电平写,高电平读。 ROM:8K×8位,其中CS:为片选信号,低电平有效,OE:为读出控制,低电平读出有效。 译码器:3—8译码器,输出低电平有效;EN为使能信号,低电平时译码器功能有效。 其它“与、或”等逻辑门电路自选。 (1)请问该主存需多少SRAM芯片?

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

相关文档
最新文档