EDA篮球计时记分系统设计

EDA篮球计时记分系统设计
EDA篮球计时记分系统设计

EDA技术课程大作业

设计题目:篮球比赛计时记分系统

院系:电子信息与电气工程学院

学生姓名:马金辉张康康底海洋

学号:

学号:

学号:

专业班级:

指导教师:李响

2012年12月8日

篮球比赛计时记分系统

摘要:本文设计了一个篮球比赛计时记分系统,具有15个7段共阴LED 显示器。该系统包括3个计时模块,1个记分模块。其中,24秒进攻倒计时使用2个LED显示器,24秒结束时球员还没投球蜂鸣器就开始报警;12分钟倒计时使用4个LED显示器,分秒各占两个,比赛时间到最后5秒时开始报警;比赛全程计时使用5个LED器,3个显示分钟,2个显示秒钟,计时范围为000~999分钟;两队记分使用4个LED显示器,每队各2个,记分范围为0~99分。

利用QUARTUS II软件来设计篮球比赛的计分计时系统的芯片,并能成成功仿真出波形。通过分别设计十进制,六十进制,十二进制,九百九九进制等模块,然后再通过连线使其达到所期望的功能。

关键词:QUARTUS II,VHDL编程,LED显示,篮球比赛,计时, 记分

目录

1. 设计背景 (1)

1.1EDA技术的迅速发展 (1)

1.2篮球比赛计时记分系统的应用 (1)

2. 设计方案 (1)

2.1任务分析 (1)

2.2方案论证 (1)

3. 方案实施 (3)

3.1计时系统模块设计 (3)

3.2记分系统设计 (5)

3.3 顶层原理图设计 (6)

4. 结果与结论 (7)

5. 收获与致谢 (7)

6. 参考文献 (8)

7. 附件 (8)

1. 设计背景

1.1 EDA技术的迅速发展

EDA是电子设计自动化的缩写,代表了当今电子设计技术的最新发展方向,已经广泛地应用于航天、化工、矿产、生物、医学、军事等各个领域。它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。EDA技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。现代社会电子科技的迅速发展,要求我们理论联系实际,本次课程设计的进行使我们有了一个非常关键的机会。

1.2 篮球比赛计时记分系统的应用

篮球比赛在中国越来越受到人们的关注,同时也被更多的青少年所喜爱。体育比赛计时记分系统是对体育比赛过程中所产生的时间,比分等数据进行快速采集记录,加工处理,传递利用的信息系统。篮球比赛根据运动队在规定的比赛时间里得分多少来决定胜负,因此,篮球比赛的计时记分系统是一种得分类型的系统。目前高水平篮球比赛,要求完善的比赛计时记分系统设备能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。本设计利用QUARTUS II软件和VHDL编程完成了设计计时和记分系统的功能,解决了篮球比赛时计时与记分准确性的问题,简单方便,可靠性强。

2. 设计方案

2.1 任务分析

此次设计是采用QUARTUS II软件,以VHDL为主要编程语言来设计在篮球比赛时所用到的计时系统,记分系统。在计时系统中:24秒进攻倒计时使用2个LED显示器,24秒结束时球员还没投球蜂鸣器就开始报警;12分钟倒计时使用4个LED显示器,分秒各占两个,比赛时间到最后5秒时开始报警;比赛全程计时使用5个LED器,3

个显示分钟,2个显示秒钟,计时范围为000~999分钟。在计分系统中:6个LED 用

于记录A 、BL 两队的分数,每队3个,显示范围可达到0~999分,足够满足赛程需要。当比赛队A 对得分时,按下A 键给A 队加分;当再次按下A 键时给B 队加分。

2.2 方案论证

篮球比赛计时记分系统程序多而且复杂,所以用图形设计方法来设计。先把每一模块的程序写好,然后生成图形文件,最后新建一个顶层图形文件,把各模块联结起来。包括的模块有计时模块、记分模块及显示模块等。

计时模块包括每节比赛的12分钟,进攻时间的24秒,以及比赛的全程时间。这三个模块可以利用12进制,24进制,和60进制的减法计数器以及10进制60进制加法计数器来表示。

计分模块可以使用计数器表示双方的比分。其中,使用一个数据选择模块来选择得分的一方, 并且用一个加法计数器来表示双方得分。程序总体框图如图1所示。

图1程序总体框图

3. 方案实施

3.1 计时系统单元模块设计

1. 六十进制加计数器

显示电路

10进制计时 60进制计时 24进制计时 999进制计分 999进制计分

数据选择器

12

进制计时 时钟脉冲

这个计数器用来表示全程比赛时间的秒钟位,具备复位功能。当给CLK输入脉冲信号时进行加法计数,当计数器加到60秒时COUT输出一个高电平,向十进制加法计数器进位。REST等于1时计数器复位。S1和S2接到LED显示管上,如图2所示。

图2 六十进制加计数器

时序仿真结果如图3。

图3六十进制加计数器时序仿真

2. 十进制加计数器

这个计数器用来表示全程比赛时间的分钟位,它的时钟脉冲应由前一个60进制计数器的COUT提供,具备复位功能,当COUT有效时开始进行加法计数,一直到全程比赛时间结束停止计时,如图4.

图4十进制加计数器

时序仿真结果如图5。

图5十进制加计数器时序仿真

3. 二十四进制减计数器

这个计数器用来表示进攻时间的,具备复位功能。当给CLK输入脉冲信号时进行减法计数,当计数器减到0,WARN输出一个高电平,报警器开始报警。REST等于1时计数器复位,CLR等于1时计数器清零,ENB等于1时计数器工作,如图6。

图6二十四进制减计数器

时序仿真结果如图7。

图7二十四进制减计数器时序仿真

4. 六十进制减计数器

这个计数器用来表示每一节比赛的秒钟位,具备复位功能。当给CLK输入脉冲信号时进行减法计数,当计数器减到5秒时WARN输出一个高电平,报警器开始报警。当计数器减到0秒时COUT输出一个高电平,向十二进制减计数器进位。REST等于1时计数器复位,CLR等于1时计数器清零,ENB等于1时计数器工作。S1和S2接到LED 显示管上,如图8。

图8六十进制减计数器

时序仿真结果如图11。

图9 六十进制减计数器时序仿真

5. 十二进制减计数器

这个计数器用来表示每一节比赛的分钟位,它的时钟脉冲应由前一个60进制计数器的COUT提供。具备复位功能。可以将12进制改为任意进制的减法计数器。当计数结束后,计数器不再计数。当CLK有效时进行减法计数,当计数器减到0之,WARN 输出一个高电平警报。ENB等于1时计数器处于工作状态,当REST等于1时计数器复位。S1和S2接到LED显示管上,如图10。

图10十二进制减计数器

时序仿真结果如图11。

图11十二进制减计数器时序仿真

6. 译码管显示部分

由于试验箱采用动态显示,所以需要将BCD码转换成段码和位码。D0到D6分别表示7个LED管,如图12。

图12译码管显示部分

时序仿真结果如图13。

图13译码管显示部分时序仿真

3.2记分系统设计

这个计数器用来记录比赛两队的得分。具备复位功能,当REST等于1时,计数器复位。当CLK有效时开始进行加法计数。当CHOS等于“00”时给A对加分;当CHOS

等于“11”时给B对加分。当FENSHU等于“001”时,加1分;当FENSHU等于“010”时,加2分;当FENSHU等于“100”时,加3分,如图14。

图14记分器

时序仿真结果如图15。

图15记分器时序仿真

3.3顶层原理图设计

1.24秒进攻倒计时原理图

二十秒进攻时间需采用倒计时,所以选用二十四进制减计数器来实现倒计时,并在倒计时结束时开始报警,如图16。

图1624秒进攻倒计时原理图

2. 12分钟倒计时原理图

赛程时间规定是12分钟并采用倒计时。12分钟倒计时采用60进制减计数器和12进制减计数器来实现并在12进制从12减到0和60进制减到5时,即距比赛结束还有5秒的时候报警,如图17。

图1712分钟倒计时原理图

3. 全程比赛计时原理图

全程比赛时间是用一个60进制和三个10进制来实现的。分别表示秒为,分位的个、十、百位,采用正计时,如图18。

图18 全程比赛计时原理图

4. 记分系统原理图

计分电路是数据选择器和一个百进制的结合,能精确记录两队的比赛时间,如图19。

图19记分系统原理图

4. 结果与结论

4.1 各模块功能实现

1.24秒进攻倒计时时序仿真结果

2.12分钟倒计时仿真结果

3. 全程比赛计时仿真结果

4. 记分系统仿真结果

4.2 总结

根据时序仿真结果,各模块可以实现预期的功能。

5. 收获与致谢

经过对设计要求的认真思考,再结合课本相关知识,经过几天的努力终于圆满的完成任务。设计过程中也遇到了不少的问题,尤其是开始阶段,面对这个相对复杂的篮球计时记分规则,不知道怎样分析,比如如何实现设计要求的功能,如何设计各个模块及其VHDL语言编写等等。经过查阅大量资料和反复讨论思考之后,终于了找到一个可行的方案。同时,学会了用QUARTUS II软件进行设计,并对VHDL语言有了更深入的了解。

这次课程设计中,张康康同学积极搜集相关课程设计课题及相关程序,马金辉同学主要负责软件仿真实现,底海洋同学认真整理了设计结果撰写并打印报告,大家共同努力,终于圆满地完成了设计任务。同时,身边的同学也给予了我们很多的帮助,尤其是我们的李响老师认真地指导我们解决课程设计中所遇到的问题,任劳任怨。老师和同学的帮助使这次课程计任务设顺利完成,在此深表谢意。

6. 参考文献

[1] 齐洪喜.陆颖.VHDL电路设计实用教程.清华大学出版社,2004.6第三版

[2] 江国强. EDA技术与实用(第三版). 北京:电子工业出版社,2011.

[3] 曹昕燕.周凤臣.EDA技术实验与课程设计.北京:清华大学出版社,2006.5

[4] 阎石. 数字电子技术基础.北京高等教育出版社,2003.

[5] 江国强.EDA技术与应用.电子工业出版社.2010.4第三版

[6] 彭介华.电子技术课程设计指导主.高等教育出版社2002

[7] 谢自美.电子线路设计、实验、测试.华中理工出版社2003

7. 附件

1.六十进制加法计数器的VHDL语言为:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT60 IS

PORT (CLK,RST: IN STD_LOGIC;

S1,S2: OUT STD_LOGIC_VECTOR (3 DOWNTO 0);

COUT: OUT STD_LOGIC);

END CNT60;

ARCHITECTURE BEHAV OF CNT60 IS

BEGIN

PROCESS (CLK,RST)

VARIABLE S1_T,S2_T:STD_LOGIC_VECTOR (3 DOWNTO 0); BEGIN

IF RST='1' THEN

S1_T:="0000";S2_T:="0000";

ELSIF CLK'EVENT AND CLK='1' THEN

IF S1_T<"1001" THEN S1_T:=S1_T+1;

ELSE S1_T:="0000";

IF S2_T<"0101" THEN S2_T:=S2_T+1;

ELSE S2_T:="0000";

END IF;

END IF;

END IF;

IF S1_T="1001" AND S2_T="0101" THEN

COUT<='1';

ELSE COUT<='0';

END IF;

S1<=S1_T;S2<=S2_T;

END PROCESS;

END BEHAV;

2.十进制加法计数器的VHDL语言:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT10 IS

PORT (CLK, RESET, EN: IN STD_LOGIC;

Q: OUT STD_LOGIC_VECTOR (3 DOWNTO 0);

COUT: OUT STD_LOGIC);

END CNT10;

ARCHITECTURE BEHA V OF CNT10 IS

BEGIN

PROCESS (CLK, RESET, EN)

V ARIABLE Q1:STD_LOGIC_VECTOR (3 DOWNTO 0); BEGIN

IF RESET='1' THEN

Q1 :=( OTHERS =>'0');

ELSIF CLK'EVENT AND CLK='1' THEN

IF EN='1' THEN

IF Q1<9 THEN

Q1:=Q1+1;

ELSE

Q1 :=( OTHERS =>'0');

END IF;

END IF;

END IF;

IF Q1=9 THEN COUT<='1';

ELSE

COUT<='0';

END IF;

Q<=Q1;

END PROCESS;

END BEHA V;

3. 二十四进制减计数器的VHDL语言为:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT24D IS

PORT (CLK, CLR: IN STD_LOGIC;

RST, ENB: IN STD_LOGIC;

WARN: OUT STD_LOGIC;

S1, S2: OUT STD_LOGIC_VECTOR (3 DOWNTO 0));

END CNT24D;

ARCHITECTURE A OF CNT24D IS

SIGNAL DD: STD_LOGIC_VECTOR(3 DOWNTO 0);

SIGNAL SS: STD_LOGIC_VECTOR(3 DOWNTO 0);

SIGNAL CC: STD_LOGIC;

BEGIN

PROCESS (CLK, CLR, ENB)

BEGIN

IF CLR='1'THEN

DD<="0000"; SS<="0000"; CC<='0';

ELSIF CLK'EVENT AND CLK='1' THEN

IF RST='1' THEN

DD<="0010"; SS<="0100"; CC<='0';

ELSIF ENB='1'THEN

IF SS="0000"THEN

IF DD/="0000"THEN

SS<="1001";

DD<=DD-1;

ELSE

CC<='1';

END IF;

ELSE SS<=SS-1;

END IF;

END IF;

END IF;

S1<=DD;S2<=SS;WARN<=CC;

END PROCESS;

END A;

5. 六十进制减计数器的VHDL语言:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT60D IS

PORT (CLK, CLR: IN STD_LOGIC;

RST, ENB: IN STD_LOGIC;

WARN: OUT STD_LOGIC;

COUT: OUT STD_LOGIC;

S1, S2: OUT STD_LOGIC_VECTOR (3 DOWNTO 0));

END CNT60D;

ARCHITECTURE A OF CNT60D IS

SIGNAL DD: STD_LOGIC_VECTOR (3 DOWNTO 0);

SIGNAL SS: STD_LOGIC_VECTOR (3 DOWNTO 0);

SIGNAL CC: STD_LOGIC;

SIGNAL BB: STD_LOGIC;

BEGIN

PROCESS (CLK, CLR, ENB)

BEGIN

IF CLR='1'THEN

DD<="0000"; SS<="0000"; CC<='0';

ELSIF CLK'EVENT AND CLK='1' THEN

IF RST='1' THEN

DD<="0101"; SS<="1001"; CC<='0';

ELSIF ENB='1'THEN

IF SS="0000" THEN

IF DD/="0000"THEN

SS<="1001";

DD<=DD-1;

ELSE

BB<='1';DD<="0101"; SS<="1001";

END IF;

ELSE

SS<=SS-1;

IF SS<"0101" AND DD<="0000" THEN

CC<='1';SS<=SS-1;

ELSE CC<='0';SS<=SS-1;

END IF;

END IF;

END IF;

END IF;

S1<=DD; S2<=SS; WARN<=CC;COUT<=BB; END PROCESS;

END A;

6. 十二进制减计数器的VHDL语言为:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT12D IS

PORT (CLK, CLR: IN STD_LOGIC;

RST, ENB: IN STD_LOGIC;

WARN: OUT STD_LOGIC;

S1, S2: OUT STD_LOGIC_VECTOR (3 DOWNTO 0));

END CNT12D;

ARCHITECTURE A OF CNT12D IS

SIGNAL DD: STD_LOGIC_VECTOR (3 DOWNTO 0);

SIGNAL SS: STD_LOGIC_VECTOR (3 DOWNTO 0);

SIGNAL CC: STD_LOGIC;

BEGIN

PROCESS (CLK, CLR, ENB)

BEGIN

IF CLR='1'THEN

DD<="0000"; SS<="0000"; CC<='0';

ELSIF CLK'EVENT AND CLK='1' THEN

IF RST='1' THEN

DD<="0001"; SS<="0001"; CC<='0';

ELSIF ENB='1'THEN

IF SS="0000" THEN

IF DD/="0000"THEN

SS<="1001";

DD<="0000";

ELSE

SS<="0001";CC<='1';DD<="0001";

END IF;

ELSE

SS<=SS-1;

END IF;

END IF;

END IF;

S1<=DD; S2<=SS; WARN<=CC;

END PROCESS;

END A;

7. 译码管的VHDL程序为:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY segment7 IS

PORT (data: IN STD_LOGIC_VECTOR (3 DOWNTO 0) ;

dout : OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END segment7;

ARCHITECTURE behave OF segment7 IS

BEGIN

PROCESS (data)

BEGIN

CASE data IS

WHEN "0000"=> dout <="1111110";

WHEN "0001"=>dout<="0110000";

WHEN "0010"=>dout<="1101101";

WHEN "0011"=>dout<="1111001";

WHEN "0100"=>dout<="0110011";

WHEN "0101"=>dout<="1011011";

WHEN "0110"=>dout<="1011111";

WHEN "0111"=>dout<="1110010";

基于单片机的篮球赛计时记分器设计

篮球赛计时计分器设计 院系自动化学院 专业自动化 班级 学号 姓名 指导教师 负责教师

摘要 体育比赛中的计时计分系统是对体育比赛过程中所产生的时间、比分等数据信息进行快速采集、加工处理、传递和利用的系统。此系统能否清晰、稳定、精确的反应体育比赛中的客观数据,直接影响到比赛的公平性和公正性。本文针对上述情况研制了篮球赛计时计分器。该系统以单片机为核心,利用7段共阴LED作为显示器件。为了调整比赛中的时间和比分,在本设计中设立了8个按键,分别用于记录甲、乙两队的分数,设置赛程时间,调整赛程时间,启动和暂停赛程时间等功能,本系统还设计了定时报警系统。实践证明,该系统精度高、稳定性好、抗干扰性强,具有一定的应用前景。 关键词:计时器;计分器;单片机;LED显示

Abstract The system of time and score recorded in the sporting games is the one like this: the data about time and socres that generated in the process of the games can be collected rapidly, processed, transmited and be used. If the system can reflect the objective data in the games clearly, stably and accurately, it will affect the fairness and impartiality of the games. According to this, calculagraph and scores recorder of the basketball games are researched and designed in this paper. The core of the system is the MCU, using seven segments LED as the display. In order to adjusting the time and the scores of the game, eight keys are used in this paper. The functions of these keys are: recording the scores of the team A and B, setting up or adjusting the time of the game, and starting or suspending the time of the game. The timing alarm system is also designed in the system. Experiments , good stability, strong anti-interference and it prospects. Key words: calculagraph;scores recorder; MCU; LED display

篮球比赛计时器(课程设计)剖析

目录 摘要...................................................... I 1 总体设计思路、基本原理 (1) 1.1 设计思路 (1) 1.2 基本原理 (1) 2单元电路设计与各单元电路图 (2) 2.1 秒脉冲发生器的设计 (2) 2.2 秒、分倒计数器的设计 (2) 2.2.1 24秒倒计时电路 (2) 2.2.2 12分钟倒计时电路设计 (3) 2.3 译码器和显示器的设计 (5) 3 总设计 (6) 4 总结 (8) 参考文献 (9) 附录 (10) 附录1 (10) 附录2 (11)

简易篮球比赛计时器 摘要 本设计主要能完成:显示篮球竞赛24秒和12分倒计时功能;此计时器功能齐全,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时蜂鸣器会发出声报警信号等。本设计是脉冲数字电路的简单应用,应用七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 本电路主要有五个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路。当控制电路的置数开关闭合时,在数码管上显示数字24和12:00,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路会发出蜂鸣信号。控制电路能直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。 关键词:计数器24秒倒计译码显示电路报警电路

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

(完整版)篮球赛计时计分器开题报告

华东交通大学理工学院 本科生毕业设计 开题报告 题目:嵌入式篮球赛计分屏的设计 分院:电气与信息工程学院 专业: 班级: 学号: 姓名: 指导教师: 填表日期:2012 年 2 月10 日

目录 一、选题的依据及意义 (2) 1.1选题的依据 (2) 1.2选题的意义 (2) 二、国内外研究现状及发展趋势 (3) 三、本课题研究内容 (4) 四、本课题研究方案 (5) 4.1.硬件设计方案 (5) 4.2.软件设计方案 (6) 五、研究目标、主要特色及工作进度 (8) 六、参考文献 (9) 七、指导老师意见 (10)

一、选题的依据及意义 1.1 选题的依据 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪后,集成在一个芯片上,构成单片微型计算机;“创新模式”则完全按嵌入式应用要求设计全新的,满足嵌入式应用要求的体系结构、微处理器、指令系统、总线方式、管理模式等。Intel公司的MCS-48、MCS-51就是按照创新模式发展起来的单片形态的嵌入式系统。MCS-51是在MCS-48探索基础上,进行全面完善的嵌入式系统,成为单片嵌入式系统的典型结构体系。 体育比赛计时计分器是对体育比赛过程中所产生的时间、比分等数据进行快速采集记录、加工处理、传递利用的工具。篮球比赛的计时计分器是一种得分类型的工具。它由计时器等、计分器等多种电子设备组成。 虽然篮球比赛中很早就开始研究应用了电子计分器,但通常都是利用模拟电子器件、数字电子器件或是模拟、数字混合组成的,其稳定性和高准确度计分仍存在一些问题。以单片机为核心的篮球比赛计分器,计分准确,具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点。 1.2 选题的意义 通过此次基于嵌入式单片机设计的篮球计分屏,可以更清楚地了解单片机程序设计的基本指令功能、编程步骤和技巧,了解AT89C51单片机的结构和原理,了解基于嵌入式单片机的相关外围电路的设计和调试过程,有助于今后的学习和工作生活。

篮球竞赛秒计时器设计课程设计

一、概述 在篮球比赛中,规定了一方的持球时间不能超过30秒,否则就被判做犯规。本课程设计的“篮球竞赛30秒计时器”,就可用于篮球比赛中,用于对球员持球时间30秒进行限制。一旦球员的持球时间超过了30秒,计时器便自动报警。 30秒计时器不仅可用于篮球比赛中,生活中也有许多地方可以用到,比如通过对预置时间的更改,可以用于辩论赛等场合。 此次课设设计了一个篮球竞赛30秒计时器电路。它由秒脉冲发生器、计数器、译码显示电路、报警电路和时序控制电路五个部分组成。通过控制电路来完成计时器的直接清零、启动、计数、暂停/连续等功能。计时器为30秒递减计时器,其时间间隔为1秒;计时器递减到零时,数码显示器不能灭灯,持续发光报警。并自行设计直流稳压电源。 这次实践,旨在增强学生思考问题,解决问题,以及将知识运用于实际的能力,作为一名学生应该好好珍惜这样的机会。 二、方案论证 图1 篮球竞赛30秒计时器原理框图 30秒计时器的原理框图如图1所示。30秒计时器主要由秒脉冲发生器、计数器、译码显示电路、时序控制电路和报警电路5部分构成。计数器完成30秒减计时功能,而控制电路是控制计时器的直接清零、启动、计数、暂停/连续功能等功能。操作清零开关时能够使计数器清零并使显示器显示“00”,报警灯发光;当启动开关闭合时,控制电路应封锁脉冲信号,同时计数器完成置数功能,显示器显示“30”;当启动开关断开时,计数器开始计数;当暂停/连续开关闭合时,控制电路封锁脉冲信号,计数器处于封存状态,计数器停止计数;当暂停/连续断开时,计数器连续累计计数;当计数器递减计数到零时,报警灯发出报警信号。

三、 电路设计 1. 直流稳压电源电路 直流稳压电路由电压变压器、整流滤波电路及稳压电路组成,结构框图如图2所示。 交流输入 直流输出 图2 直流稳压电源电路构成 电压变压器的作用是将电网220的交流电压变成整流电路所需要的交流电压,通常为降压变压器。整流电路是将输入的交流电压变换为单向脉动电压和电流,这是还需在整流电路的输出端接入滤波电路滤除交流分量,使输出为平滑的直流电压。稳压电路的作用是将滤波电路输出的电压经稳压后,输出较稳定的电压。直流稳压电源的电路图如图3所示。 图3 直流稳压电路图 2.计数器电路 本实验中计数器选用中规模集成电路74192进行设计,74192是十进制同步加法/减法计数器,它采用8421BCD 码二-十进制编码,其功能表如表1所示。 变压器 整流电路 滤波电路 稳压电路

基于单片机的篮球计时计分器

基于单片机的篮球计时 计分器 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

目录

摘要 篮球比赛计分器的设计是为了解决篮球比赛时计分与计时准确方便和灵活适用的问题而提出的,我组设计的篮球比赛计分器硬件部分主要利用AT89S52单片机完成了计分与计时的功能,并通过两个四位七段数码管分别用来显示比赛时间和甲、乙比赛双方的分数,软件部分利用Keil C51软件来进行编译,通过Proteus软件进行仿真,最后将生成的HEX文件烧入到单片机芯片中。采用该系统可根据实际情况进行时间的准确显示和比分修改,具有低功耗、可靠性强、安全性高以及低成本等特点,主要不足之处在于计时显示部分有时会出现显示不稳定的情况,基本满足了本次设计要求。 关键词:单片机;篮球赛计分;篮球赛计时;数码管 Abstract Basketball match score indicator is designed to solve the basketball game scoring and timing is accurate, convenient and flexible applicable problem, and that my 14-year-old basketball game hardware part of the group design mainly USES AT89S52 MCU to complete the scoring and timing functions, and through the two four seven segment digital tube is used to display the match time and party a and b both sides score, software part use Keil C51 software to compile, through the Proteus software simulation, finally will generate burn-in HEX file to the MCU chip. Using the system can according to the actual situation to the accurate display and modify the score of time, with low power consumption, high reliability, safety and low cost etc., the main shortcoming in the timer display part can appear sometimes unstable situation, basic meet the requirement of the design. Key words: single chip microcomputer; The basketball game scoring; The basketball game timing; Digital tube

单片机课程设计报告-篮球计时计分器

2010 ~ 2011 学年第 2 学期 《单片机应用系统设计与制作》 课程设计报告 教学院(部)电气与电子信息工程学院 教研室电气自动化 指导教师 课程设计时间 2011.5.30~2011.6.10 课程设计班级电气自动化技术2009(*)班 学号 2009******** 姓名张 * *

单片机应用系统设计与制作课程设计成绩评定表 课程设计题目:篮球计时记分器 课程设计答辩或质疑记录: 1、 2、 成绩评定依据: 成绩评定依据: 课程设计考勤情况(20%): 课程设计答辩情况(30%): 完成设计任务及报告规范性(50%): 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2011 年 6 月20 日

摘要 篮球计时计分器以单片机为核心,由计时器、计分器、综合控制器等组成。系统采用模块化设计,主体分为计时显示模块、计分显示模块、定时报警、按键控制键盘模块。每个模块的程序结构简单、任务明确,易于编写、调试和修改。编程后利用Keil软件来进行编译,再将生成的HEX文件装入芯片中,采用Proteus软件仿真,检验功能是否能够正常实现,本设计中系统硬件电路主要由以下几个部分组成:单片机AT89C52、计时电路、计分电路、报警电路和按键开关。该系统具有赛程定时设置、赛程时间暂停、及时刷新甲乙双方的成绩以及赛后成绩暂存等功能。 关键词:单片机,计时,计分,显示器,接口 ABSTRACT Time basketball scoring device as the core of SCM includes the timer, scoring devices, integrated controller and other components.This system is used of the modular design, in which the main display module is divided into time display module, scoring display module, timing alarm module, and key control keyboard module. Program structure of each module is simple and clear. So it is easy to write, debug and modify. After programming, firstly we can use Keil software to compile and then generate the HEX file into the chip. Secondly we use the Proteus software simulation to test whether the normal function to achieve. The design of hardware circuit mainly consists of the five components, including AT89C52, timing circuit, scoring circuit, alarm circuit and key switch circuit.The system has many features,such as setting the schedule time, scheduling time to pause, refreshing result of both parties timely, storing temporarily results after the match and so on. KEY WORDS:Microcontroller, Timing, Scoring, Display, Interface

完整版篮球赛计时计分器开题报告

华东交通大学理工学院 本科生毕业设计开题报告 题目:嵌入式篮球赛计分屏的设计 分院:电气与信息工程学院 专业:

班级: 学号: 姓名: 指导教师: 填表日期:2012 年 2 月10 日 目录 一、选题的依据及意义 (2) 1.1选题的依据 (2) 1.2选题的意义 (2) 二、国内外研究现状及发展趋势 (3) 三、本课题研究内容 (4) 四、本课题研究方案 (5) 4.1.硬件设计方案 (5) 4.2.软件设计方案 (6) 五、研究目标、主要特色及工作进度 (8) 六、参考文献 (9)

七、指导老师意见 (10) - 1 - 一、选题的依据及意义 1.1 选题的依据 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪后,集成在一个芯片上,构成单片微型计算机;“创新模式”则完全按嵌入式应用要求设计全新的,满足嵌入式应用要求的体系结构、微处理器、指令系统、总线方式、管理模式等。Intel公司的MCS-48、MCS-51就是按照创新模式发展起来的单片形态的嵌入式系统。MCS-51是在MCS-48探索基础上,进行全面完善的嵌入式系统,成为单片嵌入式系统的典型结构体系。 体育比赛计时计分器是对体育比赛过程中所产生的时间、比分等数据进行快速采集记录、加工处理、传递利用的工具。篮球比赛的计时计分器是一种得分类型的工具。它由计时器等、计分器等多种电子设备组成。 虽然篮球比赛中很早就开始研究应用了电子计分器,但通常都是利用模拟电子器

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

基于51单片机的篮球计时计分器

《计算机系统与接口技术》课程设计报告 题目:篮球记时记分器 姓名: 学号: 班级: 专业:电子信息科学与技术 信电学院 2010年12月24日

徐州工程学院信电学院课程设计任务书2010-2011学年第1学期

徐州工程学院课程设计 摘要 本设计是采用AT89S51单片机为核心设计的一个用于赛场的篮球计时计分器。本设计采用定时器T0中断计时,显示部分分为计时和计分显示两部分,均采用共阴极LED显示。计时部分可以调整分钟,足以满足一般赛程需要。两个显示模块均采用动态扫描方式显示。 在本设计中P0(P0.1—P0.6)口是时间和分数的段码数据输出口,P2口是时间和分数的位选数据输出口,本设计共有九个设置按键,add1、add2是加分键(add1按一次加一分,add2按一次加二分),minus1是减分键(按一下减一分),exchange是分数位置交换键,bstop是关蜂鸣器键,start是开始计时键键(按键按下开始倒计时),stopagain是时间暂停键,turn是切换键(用于加分键的切换),timeadd是时间设定键。按键与P1口相接,低电平输入有效。报警部分由有蜂鸣器及其驱动电路组成。定时时间到,扬声器报警,比赛结束,按bstop 键可停止报警(否则一直报警)。本次设计的篮球计时计分器具有以下的功能:(1)能记录整个赛程的比赛时间,并能修改比赛时间。 (2)能随时刷新甲、乙两队在整个比赛过程中的比分。 (3)中场交换比赛场地时,能交换甲、乙两队比分的位置。 (4)比赛结束时,能发出报警声。 (5)可随时接受暂停请求。

计算机原理与接口技术 目录 1 概述 (3) 1.1 单片机简介 (3) 1.2 课程设计的意义 (4) 1.3 任务与要求 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案设计 (5) 2.2 硬件系统设计 (5) 2.2.1 单片机选择 (5) 2.2.2 时钟电路模块 (6) 2.2.3 复位电路模块 (7) 2.2.4按键控制键盘模块 (7) 2.2.5 显示模块和定时报警模块 (8) 3.1 软件总体设计方案 (10) 3.2 程序模块设计 (10) 4 PROTEUS软件仿真 (12) 5 课程设计体会 (14) 参考文献 (14) 附1 源程序代码 (15)

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

篮球赛计时与计分系统的设计报告

设计报告 设计包括四个模块。他们是24秒显示,计时器,记分器,计节器。24秒显示能按键控制24秒计时器,当控球时间超过24秒时,可发出报警信号。计时器,可按键调节。可以随时暂停计时,暂停比赛,在每节结束和全场结束时报警。记分器记录两队的积累分数,可通过按键分别加1、2、3分。 1 系统设计方案 本设计由STC89C52RC 编程控制LCD 液晶显示器作球赛计时计分系统。 1.1 系统构成框图 基于单片机系统的篮球计时计分器的系统构成框图如图所示。 GND VC C VL RS RW EN DB 0DB 1DB 2DB 3DB 4DB 5DB 6DB 7LED+LED-J2CON16 12MHZ P1.01P1.23P1.34P1.45P1.56P1.67P1.78RS T 9P3.0/RXD 10P3.1/TXD 11P3.2 INT012P3.3/INT113P3.4/T014 P3.5/T115P3.6/WP 16P3.7/RD 17XTAL218XTAL119GND 20 P2.0 21 P2.122P2.223P2.324P2.425P2.526P2.627P2.728NA 29ALE 30EX_LVD 31P0.732P0.633P0.5 34P0.435P0.336P0.237P0.138P0.039VC C 40 P1.12STC40 STC1 +5V R210K 1 2 C110uF 1 2 C222 1 2 C3 22+5V S6 S7 S5 P3.412 J1 CON2 S10 SW SPST +5V R3 10K DB 0DB 1DB 2DB 3DB 4DB 5DB 6DB 7 DB 0DB 1DB 2DB 3DB 4DB 5DB 6DB 7P2.6 P2.0P3.2P1.0P2.7+5V P1.5 P3.2 P1.4 P2.6 P3.3S8 P3.3 1 LS 1 SPEAKER +5V R110K P2.0 P1.1P1.2P1.3P1.4P1.5 1 3 2 Q1PNP P2.7S2 S3 S1 P1.1 P1.2 P1.0 S4 P1.3 S9 P3.4 图1.1 系统构成框图 本系统采用单片机STC89C52RC 作为本设计的核心元件。利用LCD1602作为显示器件,第一行显示双方比赛总分数,第二行依次显示节数,倒计时时间,24秒倒计时。赛程计时采用倒计时方式。即比赛前将时间设置好,比赛开始时启动

篮球比赛24秒计时器

湖南工业大学 数字电路课程设计 指导老师:张学毅 学院:电气与信息工程 班级:电气工程1001 姓名:席献斌 学号:10401701008

一、制作任务 制作一个时间计时器,用于篮球赛控制时间的限时警示电路。 二、设计要求 1、具有24秒计时功能 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时,计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 三、总体参考方案

包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯等功能。当计时器递减计时到零(既定时时间到)时,显示器上显示00,同时二极管闪亮。 设计思路:秒脉冲信号经过递减计数器,译码器,再由数码管显示出来,中间包括控制电路。 四、主要元器件原理介绍 1、共阴极数码管 数码显示器可显示系统的运行状态及工作数据,我们所选用的是发光二极管(LED)显示器,它分为两种,共阴极(BS201/202)与共阳极(BS211/212),我们所选的是共阴极,它是将发光二极管的阴极短接后作为公共极,当驱动信号为高电平时,阴极必须接低电平,才能够发光显示。共阴极数码管的外引脚及内部电路如下图:

2、七段显示译码器74LS48 驱动共阴极显示器的译码器输出为高电平有效,所以选用74LS48驱动共阴极的发光二极管显示器。 下图是74LS48外引线排列图与功能表: 74LS48工作原理:译码器输入端为二进制码,经译码器后,输出端分别与七段显示器的的输入端对应连接。⑴消隐(灭灯)输入端BI为低电平有效。当消隐(灭灯)输入端BI =0 时,不论其余输入端状态如何,所有输出为零,数码管七段全暗,无任何显示;当消隐输入端BI =1 时译码器译码。⑵灯测试(试灯)输入端LT 为低电平有效。当灯测试(试灯)输入端=0(/ =1)时,不论其余输入端状态如何,所有输出为1,数码管七段全亮,显示8。可用来检查数码管、译码器有无故障;当灯测试输入端LT =1 时译码器译码。⑶脉冲消隐(动态灭灯)输入RBI 为低电平有效。当RBI =1时,对译码器无影响;当BI =LT =1 时,若RBI =0,输入数码是十进制的零时,数码管七段全暗,不显示;输入数码不为零时,则照常显示。在实际使用中有些零是可以不显示的,如004.50 中的百位的零可不显示;若百位的零可不显示,则十位的零也可不显示;小数点后第二位的零,不考虑有效位时也可不显示。脉冲消隐输入RBI =0 时,可使不显示的零消隐。

单片机篮球计时计分器

《单片机技术及其应用》 课程设计报告 题目:篮球计时计分器 班级:通信本科1班 学号: 姓名: 同组人员: 指导教师:敏 2014 年12 月15 日

目录 1概述 (1) 1.1单片机简介 (1) 1.2课程设计的意义 (2) 1.3设计的任务和要求 (2) 2系统总体方案及硬件设计 (2) 2.1 系统总体方案设计 (2) 2.2 单片机选择 (3) 3 系统的硬件设计 (4) 3.1 时钟电路模块 (4) 3.2 键盘控制模块 (4) 3.3 显示模块 (5) 3.4定时报警模块 (6) 4 系统的软件设计 (6) 4.1软件设计总流程图 (6) 4.2 定时初值计算 (7) 4.3 各部分程序功能分析 (8) 5 系统的Proteus仿真 (13) 6 总结 (14) 7 指导老师意见 (15) 参考书目: (15) 附录 C语言源程序 (16)

篮球计时计分器 1概述 1.1单片机简介 单片机,全称为单片微型计算机(Single Chip Microcomputer),即把组成微型计算机的各个功能部件如中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、输入/输出端口(I/0)、定时器/计数器以及串行通信接口等(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)都集成在一块芯片上,构成的一个完整的微型计算机。 由于单片机的集成度很高、功能强、通用性好、特别是它的体积小、重量轻、功耗低、价格便宜、可靠性高、抗干扰能力强和使用方便等优点、使得单片机得到了迅速推广应用、已远远超出了计算机科学的领域。 单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机—AT89系列单片机。它不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点。此次设计中所用到的AT89S52就是其中典型的代表。 由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家,测控技术企业,机电行业,竞相把单片机应用于产品更新,作为实现数字化,智能化的核心部件。篮球计时计分器就是以单片机为核心的计时计分系统,由计时器,计分器,综合控制器和24秒控制器等组成。

基于51单片机的篮球比赛计分计时器设计

设计题目篮球比赛计分器设计 设计要求: 1.结合单片机串行口工作原理,用A T89S52设计一个篮球比赛计时计分器。 2.能够记录整个赛程的比赛时间并可同时用数码管显示。 3.拥有键盘接口,可通过键盘修改当前的比赛成绩(成绩修改包括加减1、2、3)。 4.能够随时刷新甲、乙两队在整个比赛中的比赛成绩。 5.能够通过数码管显示两队的比赛成绩。 6.比赛中场和结束时,能发出报警。 学生应完成的工作: 1.根据设计任务选定合适的单片机,根据控制对象设计接口电路。 2.根据电路工作过程,画出软件流程图,根据流程图编写相应的程序,进行调试并打印程序清单。 3.根据所确定的设计电路,利用相关软件绘制电路原理图、仿真图等,提供元器件清单。 4.根据电路及器件,完成安装、焊接和调试工作。 5.根据设计过程,撰写实习报告。 6.该生在此次设计中主要负责了倒计时程序的查找、电路的仿真和元器件的领取任务。 参考文献阅读: 【1】单片机原理及应用[M].张毅刚编著.高等教育出版社; 【2】51系列单片机及C51程序设计[M].王建校,杨建国等编著.科学出版社; 【3】单片机原理及接口技术[M].徐煜明,韩艳编著.电子工业出版社; 【4】单片机C语言和汇编语言混合编程实例详解[M].杜树春.北京航空航天大学出版社; 工作计划: 2012.05.07 8:00~11:30 下达课程设计任务书,介绍课程设计整体情况 15:00~18:30 熟悉课题,查阅资料 2012.05.08 8:00~11:30 教师进行方案性提示,主要原理 15:00~18:30 学生根据课题需要,拟定系统硬件方案 2012.05.098:00~18:30 讨论,优化并确定系统硬件方案 2012.05.108:00~18:30 讨论并确定程序流程,逐步开始撰写课程设计报告 2012.05.118:00~18:30 根据程序流程图编写程序 2012.05.148:00~18:30 讨论、优化最终完成系统软件设 2012.05.158:00~18:30 系统硬件电路制作,并检查课程设计报告撰写进度 2012.05.168:00~18:30 系统硬件电路制作,并检查课程设计报告撰写进度 2012.05.178:00~18:30 烧录程序,系统调试 2012.05.188:00~11:30 完成课程设计报告的撰写 15:00~18:30 集中检查收取课程设计报告及完成的实物 任务下达日期:2012 年5月7 日 任务完成日期:2012 年5月18 日 指导教师(签名):学生(签名): 篮球比赛计分器设计

篮球计时计分器系统设计报告

篮球计时计分系统 设计报告 电信131 荣根电信132 卓壮浩

目录 1.系统设计要求及设计思路 2.硬件电路设计 2.1主控电路 2.2按键控制模块 2.3显示模块 2.4定时报警模块 3.软件开发流程及代码分析 4.实验总结

引言 ?篮球计时计分器硬件电路设计 ?篮球计时计分器软件设计 篮球计时计分器系统以AT89C51单片机为核心,系统显示部分包括 计时显示和计分显示两部分,均采用共阳极LED动态扫描方式显 示。系统采用单片机定时器TO中断计时,计时围宽,可进行定 时设定,也可以调整小时、分钟、秒等值;计分部分调整灵活,显 示围宽,足以满足各种规糢赛程需要。

1. 系统设计要求及设计思路 1.系统设计要求 为实现篮球计时计分器系统的设计,本系统应该满足以下几点 要求: ?能设置整个赛程的比赛时间,在比赛过程中能根据需要暂停和开始计时。 ?能根据比赛情况刷新比赛双方的比分。 ?中场交换比赛场地时,能交换甲、乙两队比分的位置。 ?比赛结束时,能发出报警声。 2.系统设计思路 根据设计任务与要求,设计思路如下: 系统主要功能包括记分和计时两部分,能实现两队比分的加分、减分、汁时以及其他多种显示效果;系统控制模块有:2位动态显示记分模块、4位动态显示计时模块、两队加分减分模块、按键查 询模块、分秒控制模块等。 具体实现过程:釆用7段LED数码管动态显示整个赛程的比赛时间和两队的比分情况。通过 4个按键和外部中断0实现输入功能,用于赛前时间调整、比赛过程比分纪录、时间启停以及比赛结束以后的声音报警等功能。

2.硬件电路设计 根据设计要求与设计思路,确定该系统的设计方案,图6-1为该系统设计方案的硬件电路设计框图。硬件电路主要由4部分组成:主控电路、按键控制电路、显示模块和定时报警模块。下 面对其进行一一介绍。

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

相关文档
最新文档