实验四串口接收模块电路设计

实验四串口接收模块电路设计
实验四串口接收模块电路设计

实验四串口接收模块电

路设计

Document serial number【UU89WT-UU98YT-UU8CB-UUUT-UUT108】

实验四串口接收模块电路设计

一、实验目的:

1、熟练使用ISE设计工具。

2、理解串口传输协议。理解采用“自顶向下”设计思路,分解模块的方

法。

3、在ISE使用Verilog HDL设计串口接收模块,完成仿真、下载。

二、原理分析

(一)串口传输协议概述

设计完成异步串口通信通用异步收发是一种典型的异步串口通信,简称UART。串口通信时序如图1所示。

图1 通用异步收发时序图

由图1可以看出,在没有数据传送时,通信线会一直处于高电平,即逻辑1状态;当有数据传送时,数据帧以起始位开始,以停止位结束。起始位为低电平,即逻辑0状态;停止位为高电平,即逻辑1状态,其持续时间可选为1位、位或2位(本次设计选择持续时间1位)。接收端在接收到停止位后,知道一帧数据已经传完,转为等待数据接收状态;只要再接收到0状态,即为新一帧数据的起始状态。

数据帧的数据位低位(LSB)在前,高位(MSB)在后,根据不同的编码规则,数据位可能为5位、6位、7位或者8位(本次设计数据位定位8位)。校验位也可根据需要选择奇校验、偶校验或者不要校验(本次设计不要校验位)。

(二)串口时序分析

串口通讯常用“波特率”表述串口传输速率,常用的参数有9600 bps 和115200 bps等。在硬件传输角度看,波特率表征了传输一位数据所需要的时间。例如:波特率是9600 bps,传输一位数据的时间是1/9600=秒。如果FPGA系统

时钟是20MHZ,则一位数据传输时间相当于(1/9600)/(1/20M)=2083个20MHZ时钟周期。

设一帧数据位数=1(开始位)+8(数据位)+1(校验位)+1(结束位)=11位,所以传输一帧数据的时间是11*1/9600=秒。

为了稳定采集串口数据帧的数据,需要在每位数据的“中间时刻”采样,由此,需要在每位数据开始时刻对时钟进行计数,若系统时钟是20MHZ,则在计数至2083/2=1042时采样此时刻的数值。

三、系统分析:

为实现串口接收电路,FPGA应该完成:

1、及时发现数据传输的开始,并判断每一位的开始。

2、按照“在数据位中间采样”的要求,确认采样时刻。

3、将采样得到串行数据转换为并行数据。

4、将并行数据显示在下载板上。

本次实验参数:串口传输数据率9600bps,每帧数据11位。按照“自顶向下”设计思想,系统分为以下五个子模块:

1、帧开始监测模块(detect_module)

输入端口:串行输入数据RX_Pin_In,系统时钟CLK,复位信号RSTn,其中当RSTn=0时系统复位。

输出端口:H2L_Sig

参考代码:

module detect_module( CLK, RSTn,RX_Pin_In, H2L_Sig);

input CLK;

input RSTn;

input RX_Pin_In;

output H2L_Sig;

reg H2L_F1;

reg H2L_F2;

always @ ( posedge CLK or negedge RSTn )

if( !RSTn )

begin

H2L_F1 <= 1'b1;

H2L_F2 <= 1'b1;

end

else

begin

H2L_F1 <= RX_Pin_In;

H2L_F2 <= H2L_F1; end

assign H2L_Sig = H2L_F2 & !H2L_F1;

endmodule

2、数据位中心定位模块(rx_bps_module)

输入端口:系统时钟CLK;

复位信号 RSTn,当RSTn=0,系统复位;

数据位有效信号 Count_Sig,当Count_Sig=1,表示有效位传输。

输出端口:BPS_CLK,当计数至每一位的中间位置,BPS_CLK=1,提示信号采集时间到,否则不进行信号采集。

设系统时钟20MHZ,则参考代码如下:

module rx_bps_module(CLK, RSTn,Count_Sig,BPS_CLK );

input CLK;

input RSTn;

input Count_Sig;

output BPS_CLK;

reg [11:0]Count_BPS;

always @ ( posedge CLK or negedge RSTn )

if( !RSTn )

Count_BPS <= 12'd0;

else if( Count_BPS == 12'd2082 )

Count_BPS <= 12'd0;

else if( Count_Sig )

Count_BPS <= Count_BPS + 1'b1;

else

Count_BPS <= 12'd0;

assign BPS_CLK = ( Count_BPS == 12'd1041 ) 1'b1 : 1'b0;

endmodule

3、数据装载模块(rx_control_module)

输入端口:系统时钟CLK,

复位信号 RSTn,当RSTn=0时,系统复位

帧开始信号H2L_Sig,当H2L_Sig=1,表示一帧信号开始;

串口数据输入信号: RX_Pin_In,

位中心定位信号:BPS_CLK,

串口接收使能信号 RX_En_Sig,当RX_En_Sig=1,系统正常工作。反正不接收数据。

输出端口:串口数据帧开始确认信号:Count_Sig,当 Count_Sig=1,表示串口输入帧开始时刻,持续一个系统时钟周期。

装载好的串口数据:RX_Data,位宽为8bits

串口数据接收结束信号: RX_Done_Sig,当RX_Done_Sig=1,表示一帧

串口数据接收完毕。

参考代码:

module rx_control_module(CLK, RSTn, H2L_Sig, RX_Pin_In, BPS_CLK,

RX_En_Sig,

Count_Sig, RX_Data, RX_Done_Sig);

input CLK;

input RSTn;

input H2L_Sig;

input RX_En_Sig;

input RX_Pin_In;

input BPS_CLK;

output Count_Sig;

output [7:0]RX_Data;

output RX_Done_Sig;

reg [3:0]i;

reg [7:0]rData;

reg isCount;

reg isDone;

always @ ( posedge CLK or negedge RSTn )

if( !RSTn )

begin

i <= 4'd0;

rData <= 8'd0;

isCount <= 1'b0;

isDone <= 1'b0;

end

else if(RX_En_Sig )

case ( i )

4'd0 :

if( H2L_Sig ) begin i <= i + 1'b1; isCount <= 1'b1; end

4'd1 :

if( BPS_CLK ) begin i <= i + 1'b1; end

4'd2, 4'd3, 4'd4, 4'd5, 4'd6, 4'd7, 4'd8, 4'd9 :

if( BPS_CLK ) begin i <= i + 1'b1; rData[ i - 2 ] <= RX_Pin_In; end

4'd10 :

if( BPS_CLK ) begin i <= i + 1'b1; end

4'd11 :

if( BPS_CLK ) begin i <= i + 1'b1; end

4'd12 :

begin i <= i + 1'b1; isDone <= 1'b1; isCount <= 1'b0; end

4'd13 :

begin i <= 1'b0; isDone <= 1'b0; end

endcase

assign Count_Sig = isCount;

assign RX_Data = rData;

assign RX_Done_Sig = isDone;

endmodule

这一代码采用有限状态机方式,在监测到串口数据帧开始时刻,如果系统接收使能信号有效,展开对串口输入的几个阶段:帧开始,有效帧数据接收,接收帧校验位,接收帧结束位,一帧接收结束,转回帧开始状态。

4、显示模块(display)

输入端口:sum,从串口接收到的8bits的一帧数据

输出端口:自定义

本模块根据下载板的资源,将接收到的8bits数据显示出来,可以采用数码管,发光二极管等。

5、顶层模块(top)

将以上4个模块合理组合,构成一个系统。

四、实验内容:

1.在理解串口协议

2.采用VerilogHDL,设计分频模块,将下载板上40MHz时钟转换为所需要的20MHZ时钟。

3. 分析帧开始监测模块(detect_module),数据位中心定位模块

(rx_bps_module),数据装载模块(rx_control_module)的功能,完成:帧开始监测模块(detect_module),数据装载模块(rx_control_module)两个模块的功能仿真。

4. 根据下载板资源,设计数据显示方案,并设计模块并仿真。

5. 在理解各个参考代码基础上,画出系统模块连接图,完成顶层模块的设计。

6、根据下载板资源,锁定引脚,编译适配以上文件,最后进行下载和硬件测试。

四、思考题:

1、简述帧开始监测模块(detect_module),数据位中心定位模块

(rx_bps_module),数据装载模块(rx_control_module)的功能各是什么这三个模块是如何配合实现了串口数据的接收

2、如果串口比特率改为115200 bps,代码应该做怎样的修改

3、如果要设计串口数据发送模块,该如何设计,简要写出设计系统任务书(包括设计目标,功能模块划分,子模块功能描述等)

五、实验报告:

要求按照系统实现要求,分析串口通讯协议,描述按“自顶向下”设计方法分解系统,实现各子模块的思路,实验报告附功能仿真波形及其分析。

阐述下载测试电路,包括引脚锁定,下载调试效果。

回答本实验的思考题。

实验四-串口通信实验

姓名:彭嘉乔 学号:3130104084 日期:2015.05 地点: ___________ 指导老师:弓 ________________ 成绩: 实验类型: 同组学生姓名:吴越 、实验内容和原理(必 填) 四、操作方法和实验步骤 六、实验结果与分析(必 填) 七、讨论、心得 一、实验目的 1、掌握80C51串行口工作方式选择、理解串行口四种通讯模式的区别、波特率发生器的作用及通讯过程屮的时 序关系。 2、 掌握串口初始化的设置方法和串行通信编程的能力。 3、 了解PC 机通讯的基本要求,掌握上位机和下位机的通讯方法。 4、 编写简单的通信协议(如串行口工作方式、波特率、校验方式、出错处理等) 二、 实验器材 1、 Micetek 仿真器一台。 2、 实验板一块。 3、 PC 机电脑一台。 4、 九针串口线一条。 別f 尹丿占实验报告 课程名称:彳 — 实验名称:实验四 串口通信实验 、实验目的和要求(必 填) 三、主要仪器设备(必 填) 五、实验数据记录和处理

三、实验原理 串口通讯对单片机而言意义重大,不但可以实现将单片机(下位机)的数据传输到PC端(上位机),

便地进行串口通讯。进行串行通讯时要满足一定的条件,比如电脑的串口是 RS232电平的,而单片机的 串口是TTL 电平的,两者Z 间必须有一个电平转换电路,本实验采用专用芯片 也可以用几个三极管进行模拟转换,但是还是用专用芯片更简单可靠。 3. 1 RS232九针串口基本功能简介 九针串口即RS-232接口,是个人计算机上的通讯接口之一,由电子工业协会 Industries Association , EIA)所制定的异步传输标准接口。通常RS-232接口以9个引脚(DB-9)或是25个引脚(DB-25) 的型态出现,一般个人计算机上会有两组 RS-232接口,分别称为COM1和COM2。该接口分 为公头子和母头子。九针串口(母头)的功能如下,请见图 1 : 9 / \ 6 Ov 3v Ov Ov 图1 RS232九针串口母头功能说明 分别为1 :载波检测 (DCD) ; 2 :接收数据(RXD) ; 3 :发送数据(TXD) : 4 :数据终端准备 好(DTR) ; 5 :信号地(GND) ; 6 :数据准备好(DSR) ; 7 :发送请求(RTS) ; 8 :发送清除(CTS) ; 9 :振铃 指示(RI)接法。 本实验采用三线制连接串口,也就是说和电脑的 9针串口只需连接其屮的3根线:第5脚的GND 、 第2脚的RXD 、第3脚的TXD 。这是最简单的连接方法, 但是已满足本实验硬件需求, 电路如图2所示, MAX232的第11脚和单片机的11脚连接,通过MAX232芯片的电平转换,将T1OUT 输出连接板子上9针串口(母头)MAX232进行转换,虽然 (Electronic

ADS2009射频电路仿真实验实验报告

低通滤波器的设计与仿真报告 一、实验目的 (1)熟悉ADS2009的使用及操作; (2)运用此软件设计一低通录波器,通过改变C2.L1的值,使低通录波器达到预定的要求(dB值以大于—3.0以上为宜); (3)画出输出仿真曲线并标明截止频率的位置与大小。 二、低通滤波器简介 (1)定义:让某一频率以下的信号分量通过,而对该频率以上的信号分量大大抑制的电容、电感与电阻等器件的组合装置。低通滤波器是容许低于截止频率的信号通过,但高于截止频率的信号不能通过的电子滤波装置。 (2)特点与用途 特点:低损耗高抑制;分割点准确;双铜管保护;频蔽好,防水功能强。 用途:产品用途广泛,使用于很多通讯系统,如 CATV EOC 等系统。并能有效的除掉通频带以外的信号和多余的频段、频率的干扰。 低通滤波器在信号处理中的作用等同于其它领域如金融领域中移动平均数所起的作用;低通滤波器有很多种,其中,最通用的就是巴特沃斯滤波器和切比雪夫滤波器。 三、设计步骤 1,建立新项目 (1)在界面主窗口执行菜单命令【File】/【New Project...】,创建

新项目。在选择保存路径时,在“Name”栏中输入项目的名称“lab1”; (2)单击按钮“确认”,出现电路原理图设计及仿真向导对话框,按照要求进行选择选项。 2,建立一个低通录波器设计 (1)在主界面窗口,单击“New Schematic Window”图标,弹出原理图设计窗口; (2)单击“保存”图标,保存原理图,命名为“lpf1”; (3)在元件模型列表窗口中选择“Lumped-Components”集总参数元件类; (4)在左侧面板中选择电容图标,将其放置到电路图设计窗口中,并进行旋转; (5)用类似的方法将电感放置到电路图设计窗口中,并利用接地图标,把电容器的一端接地,将各个器件连接起来; (6)在元件库列表窗口选择“Simulation-S-Param”项,在该面板中选择S-parameter模拟控制器和端口Term,将其放到原理图中。双击电容“C2”并修改其参数。 低通滤波器原理图如下图1所示: 3,电路仿真 1)设置S参数控件参数 (1)双击S参数控件,打开参数设置窗口,将“Step-size”设置为0.5GHz; (2)选中【Display】选项卡,在此列出了所有可以显示在原理

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

实验四-串口通信实验

. 实验报告 课程名称:微机原理与接口技术 指导老师:张军明 成绩:__________________ 实验名称:实验四 串口通信实验 实验类型:________________同组学生姓名:吴越 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一、实验目的 1、掌握80C51串行口工作方式选择、理解串行口四种通讯模式的区别、波特率发生器的作用及通讯过程中的时序关系。 2、掌握串口初始化的设置方法和串行通信编程的能力。 3、了解PC 机通讯的基本要求,掌握上位机和下位机的通讯方法。 4、编写简单的通信协议(如串行口工作方式、波特率、校验方式、出错处理等)。 二、实验器材 1、Micetek 仿真器一台。 2、实验板一块。 3、PC 机电脑一台。 4、九针串口线一条。 三、实验原理 串口通讯对单片机而言意义重大,不但可以实现将单片机(下位机)的数据传输到PC 端(上位机), 专业:电子信息工程 姓名:彭嘉乔 学号:3130104084 日期:2015.05 地点:东3-409

而且也能实现PC对单片机的控制,51单片机有一个全双工的串行通讯口,所以单片机和PC之间可以方便地进行串口通讯。进行串行通讯时要满足一定的条件,比如电脑的串口是RS232电平的,而单片机的串口是TTL电平的,两者之间必须有一个电平转换电路,本实验采用专用芯片MAX232进行转换,虽然也可以用几个三极管进行模拟转换,但是还是用专用芯片更简单可靠。 3.1 RS232九针串口基本功能简介 九针串口即RS-232接口,是个人计算机上的通讯接口之一,由电子工业协会(Electronic Industries Association,EIA) 所制定的异步传输标准接口。通常RS-232 接口以9个引脚(DB-9) 或是25个引脚(DB-25) 的型态出现,一般个人计算机上会有两组RS-232接口,分别称为COM1和COM2。该接口分为公头子和母头子。九针串口(母头)的功能如下,请见图1: 图1 RS232九针串口母头功能说明 分别为1:载波检测(DCD);2:接收数据(RXD);3:发送数据(TXD);4:数据终端准备好(DTR);5:信号地(GND);6:数据准备好(DSR);7:发送请求(RTS);8:发送清除(CTS);9:振铃指示(RI)接法。 本实验采用三线制连接串口,也就是说和电脑的9针串口只需连接其中的3根线:第5脚的GND、第2脚的RXD、第3脚的TXD。这是最简单的连接方法,但是已满足本实验硬件需求,电路如图2所示,MAX232的第11脚和单片机的11脚连接,通过MAX232芯片的电平转换,将T1OUT输出连接板子上9针串口(母头)第2脚的RXD;板子上9针串口(母头)第3脚的TXD与MAX232芯片的第13脚相连,通过RS232电平转换为TTL电平后,将MAX232芯片的第12脚和单片机的10脚连接,同时9针

射频实验报告一

电子科技大学通信射频电路实验报告 学生姓名: 学号: 指导教师:

实验一选频回路 一、实验内容: 1.测试发放的滤波器实验板的通带。记录在不同频率的输入下输出信号的 幅度,并绘出幅频响应曲线。 2.设计带宽为5MHz,中心频率为39MHz,特征阻抗为50欧姆的5阶带 通滤波器。 3.在ADS软件上对设计出的带通滤波器进行仿真。 二、实验结果: (一)低通滤波器数据记录及幅频响应曲线 频率 1.0k 500k 1M 1.5M 2.0M 2.5M 3.0M 3.5M 4..0M 4.5M 5.0M /Hz Vpp/mv 1000 1010 1020 1020 1020 1050 952 890 832 776 736 频率/Hz 5.5M 6.0M 6.2M 6.4M 6.6M 6.8M 7.0M 7.2M 7.4M 7.6M 7.8M Vpp/mv 704 672 656 640 624 592 568 544 512 480 448 频率/Hz 8.0M 8.2M 8.4M 8.6M 8.8M 9.0M 9.2M 9.4M 9.6M 9.8M 10.0M Vpp/mv 416 400 368 376 320 288 272 256 224 208 192

(二)带通滤波器数据记录及幅频响应曲线 频率 /MHz 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0 5.5 6.0 6.5 Vpp/mv 0.4 0.8 0.4 0.6 0.8 0.6 0.8 0.8 1.4 1.1 6.0 4.0 23. 8 频率 /MHz 7.0 7.2 7.4 7.6 7.8 8.0 8.2 8.4 8.6 8.8 9.0 9.2 9.4 Vpp/mv 79. 2 72. 8 66. 4 69. 6 77. 6 90. 4 108. 8 137. 6 183. 2 260 364 442 440 频率/MHz 9.6 9.8 10. 10. 2 10. 4 10. 6 10.8 11.0 11.2 11. 4 11. 6 11. 8 12. Vpp/mv 440 403 378 378 406 468 468 548 548 484 412 356 324 频率/MHz 12. 2 12. 4 12. 6 12. 8 13. 13. 2 13.4 13.6 13.8 14.

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

串口通信实验讲解

课程名称:Zigbee技术及应用实验项目:串口通信实验指导教师: 专业班级:姓名:学号:成绩: 一、实验目的: (1)认识串口通信的概念; (2)学习单片机串口通信的开发过程; (3)编写程序,使单片机与PC通过串口进行通信。 二、实验过程: (1)根据实验目的分析实验原理; (2)根据实验原理编写C程序; (3)编译下载C程序,并在实验箱上观察实验结果。 三、实验原理: 串行通信是将数据字节分成一位一位的形式在一条传输线上逐个地传送,此时只需要一条数据线,外加一条公共信号地线和若干条控制信号线。因为一次只能传送一位,所以对于一个字节的数据,至少要分8位才能传送完毕,如图3-1所示。 图2-1串行通信过程 串行通信制式: (1)单工制式 这种制式是指甲乙双方通信时只能单向传送数据,发送方和接收方固定。 (2)半双工制式 这种制式是指通信双方都具有发送器和接收器,即可发送也可接收,但不能同时接收和发送,发送时不能接收,接收时不能发送。

(3)全双工制式 这种制式是指通信双方均设有发送器和接收器,并且信道划分为发送信道和接收信道,因此全双工制式可实现甲乙双方同时发送和接收数据,发送时能接收,接收时能发送。 三种制式分别如图3-2所示 图3-2串行通信制式 3.1硬件设计原理 CC2530有两个串行通信接口USART0和USART1,两个USART具有同样的功能,可已分别运行于UART模式和同步SPI模式。 CC2530的两个串行通信接口引脚图分布如表3-1所示 表3-1 CC2530串行通信口引脚图分布 本实验CC2530模块使用的是USART1的位置2,P1_6和P1_7。

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

电子设计大赛常用电路图

错误 !未定义书签。 图2 L293D 的电机驱动电路 图3 电源稳压电路 图4 降压电路

图3 降压斩波电路原理图 图4 电流检测模块

OS CI ICE_SDA ICE_SCK ICE_EN AV SS1OP I AGC M ICOUT DA C2DA C IOB12IOB11IOB15IOB13SLE EP IOB14VS S IOA12IOA14IOA11IOA10IOA15IOA13I O B 9I O B 10IOA9 I O B 5I O B 8I O B 7V C P I O A 8 V D D H I O A 6I O A 7V S S VS S V D D H VS S V R T A V S S 1 V D D _P I O B 2V C M I O A 3I O B 6I O B 1I O A 1V M I C I O B 0I O A 2M I C P R E S _B I O B 4 I O A 4 I O B 3I O A 0I O A 5VREF2V S S V D D H SPCE061A DA C1M ICN AV SS1VDD VS S VS S VS S OS CO +C29100u C31104 U1 OS C32O 12OS C32I 13XT EST 14VDD 15XICE 16XICECLK 17XICES DA 18VS S 19PV IN 20DA C121DA C222VREF223VS S 24AGC 25OP I 26M ICOUT 27M ICN 28PFUSE 29M I C P 33V C M 34V R T P A D 35V D D 36V M I C 37V S S 38I O A 041I O A 142I O A 243I O A 344I O A 445I O A 546I O A 647I O A 748V S S 49V S S 50V D D H 51V D D H 52I O A 8 53 N C 39N C 40NC 30NC 31NC 32 IOA9 54 IOA1055IOA1156IOA1257IOA1358IOA1459IOA1560XROM T 61VS S 62XS LEEP 63IOB1564IOB1465IOB1366IOB1267IOB1168PV PP 69V D D H 75 I O B 1076I O B 977NC 70NC 71NC 72NC 73NC 74I O B 878I O B 779I O B 680I O B 581I O B 41I O B 32I O B 23N C 82N C 83N C 84I O B 14I O B 05X R E S B 6V D D 7V C P 8V S S 9N C 10N C 11C8104C7104C18104 +C5 100u C28104 + C27100u +C17100u + C4100u V D D _A SPCE061A 芯片引脚电路图 电机驱动电路 图5 电源变换电路图

串行口通信实验 单片机实验报告

实验六串行口通信实验 一、实验内容 实验板上有RS-232接口,将该接口与PC机的串口连接,可以实现单片机与PC机的串行通信,进行双向数据传输。本实验要求当PC机向实验板发送的数字在实验板上显示,按实验板键盘输入的数字在PC机上显示,并用串口助手工具软件进行调试。 二、实验目的 掌握单片机串行口工作原理,单片机串行口与PC机的通信工作原理及编程方法。 三、实验原理 51单片机有一个全双工的串行通讯口,所以单片机和电脑之间可以方便地进行串口通信。进行串行通讯信要满足一定的条件,比如电脑的串口是RS232电平(-5~-15V为1,+5~+15V为0),而单片机的串口是TTL电平(大于+2.4V为1,小于- 0.7V为0),两者之间必须有一个电平转换电路实现RS232电平与TTL电平的相互转换。 为了能够在PC机上看到单片机发出的数据,我们必须借助一个Windows软件进行观察,这里我们可以使用免费的串口调试程序SSCOM32或Windows的超级终端。 单片机串行接口有两个控制寄存器:SCON和PCON。串行口工作在方式0时,可通过外接移位寄存器实现串并行转换。在这种方式下,数据为8位,只能从RXD端输入输出,TXD端用于输出移位同步时钟信号,其波特率固定为振荡频率的1/12。由软件置位串行控制寄存器(SCON)的REN位后才能启动,串行接收,在CPU将数据写入SBUF寄存器后,立即启动发送。待8位数据输完后,硬件将SCON寄存器的T1位置1,必须由软件清零。 单片机与PC机通信时,其硬件接口技术主要是电平转换、控制接口设计和远近通信接口的不同处理技术。在DOS操作环境下,要实现单片机与微机的通信,只要直接对微机接口的通信芯片8250进行口地址操作即可。WINDOWS的环境下,由于系统硬件的无关性,不再允许用户直接操作串口地址。如果用户要进行串行通信,可以调用WINDOWS的API 应用程序接口函数,但其使用较为复杂,可以使用KEILC的通信控件解决这一问题。 四、实验电路 [参考学习板说明书P27]

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

串口通信实验报告全版.doc

实验三双机通信实验 一、实验目的 UART 串行通信接口技术应用 二、实验实现的功能 用两片核心板之间实现串行通信,将按键信息互发到对方数码管显示。 三、系统硬件设计 (1)单片机的最小系统部分 (2)电源部分 (3)人机界面部分

数码管部分按键部分 (4)串口通信部分 四、系统软件设计 #include #define uchar unsigned char #define uint unsigned int void send(); uchar code0[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//0-9的数码管显示 sbit H1=P3^6; sbit H2=P3^7;

sbit L1=P0^5; sbit L2=P0^6; sbit L3=P0^7; uint m=0,i=0,j; uchar temp,prt; /***y延时函数***/ void delay(uint k) { uint i,j; //定义局部变量ij for(i=0;i

{ m=1; //KEY1键按下 return(m); } if(H2==0) { m=4; //KEY4键按下 return(m); } } } if(L2==0) { delay(5); if (L2==0) { L2=0;H1=1;H2=1; if(H1==0) { m=2; //KEY2键按下 return(m); } if(H2==0) { m=5; //KEY5键按下 return(m); } } } if(L3==0) { delay(5); if (L3==0) { L3=0;H1=1;H2=1; if(H1==0) { m=3; //KEY3键按下

RLC串联谐振电路(Multisim仿真实训)

新疆大学 实习(实训)报告 实习(实训)名称: __________ 电工电子实习(EDA __________ 学院: __________________ 专业班级_________________________________ 指导教师______________________ 报告人____________________________ 学号 ______ 时间: 实习主要内容: 1. 运用Multisim仿真软件自行设计一个RLC串联电路,并自选合适的参数。 2. 用调节频率法测量RLC串联谐振电路的谐振频率f 0 ,观测谐振现象。 3. 用波特图示仪观察幅频特性。 4?得出结论并思考本次实验的收获与体会。 主要收获体会与存在的问题: 本次实验用Multisim 仿真软件对RLC串联谐振电路进行分析,设计出了准确的电路模型,也仿真出了正确的结果。通过本次实验加深了自己对RLC振荡电路的理解与应用,更学习熟悉了Multisim 仿真软件,达到了实验的目

的。存在的问题主要表现在一些测量仪器不熟悉,连接时会出现一些错误,但最终都实验成功了。 指导教师意见: 指导教师签字: 年月日 备注: 绪论 Multisim仿真软件的简要介绍 Multisim是In terctive Image Tech no logies公司推出的一个专门用于电子电 路仿真和设计的软件,目前在电路分析、仿真与设计等应用中较为广泛。该软件以图形界面为主,采用菜单栏、工具栏和热键相结合的方式,具有一般Windows 应用软件的界面风格,用户可以根据自己的习惯和熟练程度自如使用。尤其是多种可放置到设计电路中的虚拟仪表,使电路的仿真分析操作更符合工程技术人员的工作习惯。下面主要针对Multisim11.0软件中基本的仿真与分析方法做简单介绍。 EDA就是“ Electronic Design Automation ”的缩写技术已经在电子设计领 域得到广泛应用。发达国家目前已经基本上不存在电子产品的手工设计。一台电子产品的设计过程,从概念的确立,到包括电路原理、PCB版图、单片 机程序、机内结构、FPGA的构建及仿真、外观界面、热稳定分析、电磁兼容分析在内的物理级设计再到PCB钻孔图、自动贴片、焊膏漏印、元器件清 单、总装配图等生产所需资料等等全部在计算机上完成。EDA已经成为集成 电路、印制电路板、电子整机系统设计的主要技术手段。 功能: 1. 直观的图形界面 整个操作界面就像一个电子实验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的;

电子电路设计的一般方法和步骤

电子电路设计的一般方法与步骤 一、总体方案的设计与选择 1.方案原理的构想 (1)提出原理方案 一个复杂的系统需要进行原理方案的构思,也就是用什么原理来实现系统要求。因此,应对课题的任务、要求和条件进行仔细的分析与研究,找出其关键问题是什么,然后根据此关键问题提出实现的原理与方法,并画出其原理框图(即提出原理方案)。提出原理方案关系到设计全局,应广泛收集与查阅有关资料,广开思路,开动脑筋,利用已有的各种理论知识,提出尽可能多的方案,以便作出更合理的选择。所提方案必须对关键部分的可行性进行讨论,一般应通过试验加以确认。 (2)原理方案的比较选择 原理方案提出后,必须对所提出的几种方案进行分析比较。在详细的总体方案尚未完成之前,只能就原理方案的简单与复杂,方案实现的难易程度进行分析比较,并作出初步的选择。如果有两种方案难以敲定,那么可对两种方案都进行后续阶段设计,直到得出两种方案的总体电路图,然后就性能、成本、体积等方面进行分析比较,才能最后确定下来。 2.总体方案的确定 原理方案选定以后,便可着手进行总体方案的确定,原理方案只着眼于方案的原理,不涉及方案的许多细节,因此,原理方案框图中的每个框图也只是原理性的、粗略的,它可能由一个单元电路构成,亦可能由许多单元电路构成。为了把总体方案确定下来,必须把每一个框图进一步分解成若干个小框,每个小框为一个较简单的单元电路。当然,每个框图不宜分得太细,亦不能分得太粗,太细对选择不同的单元电路或器件带来不利,并使单元电路之间的相互连接复杂化;但太粗将使单元电路本身功能过于复杂,不好进行设计或选择。总之,

应从单元电路和单元之间连接的设计与选择出发,恰当地分解框图。 二、单元电路的设计与选择 1.单元电路结构形式的选择与设计 按已确定的总体方案框图,对各功能框分别设计或选择出满足其要求的单元电路。因此,必须根据系统要求,明确功能框对单元电路的技术要求,必要时应详细拟定出单元电路的性能指标,然后进行单元电路结构形式的选择或设计。 满足功能框要求的单元电路可能不止一个,因此必须进行分析比较,择优选择。 2.元器件的选择 (1)元器件选择的一般原则 元器件的品种规格十分繁多,性能、价格和体积各异,而且新品种不断涌现,这就需要我们经常关心元器件信息和新动向,多查阅器件手册和有关的科技资料,尤其要熟悉一些常用的元器件型号、性能和价格,这对单元电路和总体电路设计极为有利。选择什么样的元器件最合适,需要进行分析比较。首先应考虑满足单元电路对元器件性能指标的要求,其次是考虑价格、货源和元器件体积等方面的要求。 (2)集成电路与分立元件电路的选择问题 随着微电子技术的飞速发展,各种集成电路大量涌现,集成电路的应用越来越广泛。今天,一块集成电路常常就是具有一定功能的单元电路,它的性能、体积、成本、安装调试和维修等方面一般都优于由分立元件构成的单元电路。 优先选用集成电路不等于什么场合都一定要用集成电路。在某些特殊情况,如:在高频、宽频带、高电压、大电流等场合,集成电路往往还不能适应,有时仍需采用分立元件。另外,对一些功能十分简单的电路,往往只需一只三极管或一只二极管就能解决问题,就不必选用集成电路。

嵌入式系统实验报告-串行通信实验-答案

《嵌入式系统实验报告》 串行通信实验 南昌航空大学自动化学院050822XX 张某某 一、实验目的: 掌握μC/OS-II操作系统的信号量的概念。 二、实验设备: 硬件:PC机1台;MagicARM2410教学实验开发平台台。 软件:Windows 98/2000/XP操作系统;ADS 1.2集成开发环境。 三、实验内容: 实验通过信号量控制2个任务共享串口0打印字符串。为了使每个任务的字符串信息(句子)不被打断,因此必须引入互斥信号量的概念,即每个任务输出时必须独占串口0,直到完整输出字符串信息才释放串口0。 四、实验步骤: (1)为ADS1.2增加DeviceARM2410专用工程模板(若已增加过,此步省略)。 (2)连接EasyJTAG-H仿真器和MagicARM2410实验箱,然后安装EasyJTAG-H仿真器(若已经安装过,此步省略),短接蜂鸣器跳线JP9。 (3)启动ADS 1.2,使用ARM Executable Image for DeviceARM2410(uCOSII)工程模板建立一个工程UART0_uCOSII。(本范例在ADS文件夹中操作) (4)在ADS文件夹中新建arm、Arm_Pc、SOURCE文件夹。将μC/OS 2.52源代码添加到SOURCE文件夹,将移植代码添加到arm文件夹,将移植的PC服务代码添加到Arm_Pc文件夹。 (5)在src组中的main.c中编写主程序代码。 (6)选用DebugRel生成目标,然后编译链接工程。 (7)将MagicARM2410实验箱上的UART0连接跳线JP1短接,使用串口延长线把MagicARM2410实验箱的CZ11与PC机的COM1连接。 注意:CZ11安装在MagicARM2410实验箱的机箱右侧。 (8)PC机上运行“超级终端”程序(在Windows操作系统的【开始】->【程序】->【附件】->【通讯】->【超级终端】),新建一个连接,设置串口波持率为115200,具体设置参考图3.5,确定后即进入通信状态。 (9)选择【Project】->【Debug】,启动AXD进行JTAG仿真调试。 (10)全速运行程序,程序将会在main.c的主函数中停止(因为main函数起始处默认设置有断点)。 (11)可以单步运行程序,可以设置/取消断点,或者全速运行程序,停止程序运行,在超级终端上观察任务0和任务1的打印结果。 五、实验结论与思考题(手写,打印无效): 1、如果任务0删除语句“OSSemPost(UART0_Sem);”,那么程序还能否完全正常无误运行? 答:OSSemPost (OS_EVENT *pevent),这个函数是释放资源,执行后资源数目会加1。在该函数中,删除对应语句则使串口资源UART0_Sem始终无法释放。

收音机实验报告..

《高频电子线路》课程设计报告 题目SD-105 七管半导体收音机 学院(部)信息学院 专业通信工程 班级2011240401 学生姓名张静 学号33 指导教师宋蓓蓓,利骏

目录 一、概括……………………………………页码 二、收音机工作原理……………………………………页码 三、各部分设计及原理分析……………………页码 四、实验仿真及结果……………………………页码 五、结论…………………………………………页码 六、心得体会……………………………………页码 七、参考文献……………………………………页码

调幅半导体收音机原理及其调试 一概述:收音机的发明人类自从发现能利用电波传递信息以来,就不断研究出不同的方法来增加通信的可靠性、通信的距离、设备的微形化、省电化、轻巧化等。接收信息所用的接收机,俗称为收音机。目前的无线电接收机不单只能收音,且还有可以接收影像的电视机、数字信息的电报机等。 随着广播技术的发展,收音机也在不断更新换代。自1920年开发了无线电广播的半个多世纪中,收音机经历了电子管收音机、晶体管收音机、集成电路收音机的三代变化,功能日趋增多,质量日益提高。20世纪80年代开始,收音机又朝着电路集成化、显示数字化、声音立体化、功能电脑化、结构小型化等方向发展。 1947年、美国贝尔实验室发明了世界上第一个晶体管,从此以后.开始了收音机的晶体管时代.并且逐步结束了以矿石收音机、电子管收音机为代表的收音机的初级阶段。 调幅收音机:由输入回路、本振回路、混频电路、检波电路、自动增益控制电路(AGC)及音频功率放大电路组成输入回路由天线线圈和可变电容构成,本振回路由本振线圈和可变电容构成,本振信号经内部混频器,与输入信号相混合。混频信号经中周和455kHz陶瓷滤波器构成的中频选择回路得到中频信号。至此,电台的信号就变成了以

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

从EMC角度考虑常用电路设计及PCB设计

从EMC角度考虑常用电路设计及PCB设计 A.电源电路 电源电路设计中,功能性设计主要考虑温升和纹波大小。温升大小由结构 很关键:大电容一般采用低ESR电容,小电容采用0.1UF和1000pF共用。电源电路设计中,电磁兼容设计是关键设计。主要涉及的电磁兼容设计有:传导发射和浪涌。 传导发射设计一般采用输入滤波器方式。外部采购的滤波器内部电路一般采用下列电路: Cx1和Cx2为X电容,防止差模干扰。差模干扰大时,可增加其值进行抑制;Cy1和Cy2为Y电容,防止共模干扰。共模干扰大时,可增加其值进行抑制。需要注意的是,如自行设计滤波电路,Y电容不可设计在输入端,也不可双端都加Y电容。 浪涌设计一般采用压敏电阻。差模可根据电源输入耐压选取;共模需要电源输入耐压和产品耐压测试综合考虑。 当浪涌能量大时,也可考虑压敏电阻(或TVS)与放电管组合设计。

1 电源输入部分的EMC设计 应遵循①先防护后滤波;②CLASS B规格要求的电源输入端推荐两级滤波电路,且尽量靠近输入端;③在电源输入端滤波电路前和滤波电路中无采样电路和其它分叉电路;如果一定有采样电路,采样电路应额外增加了足够的滤波电路。 原因说明: ①先防护后滤波: 第一级防护器件应在滤波器件之前,防止滤波器件在浪涌、防雷测试中损坏,或导致滤波参数偏离,第二级保护器件可以放在滤波器件的后面;选择防护器件时,还应考虑个头不要太大,防止滤波器件在PCB布局时距离接口太远,起不到滤波效果。 ②CLASS B规格要求的电源输入端推荐两级滤波电路,且尽量靠近输入端:CLASSB要求比CLASS A要求小10dB,即小3倍,所以应有两级滤波电路; CLASSA规格要求至少一级滤波电路;所谓一级滤波电路指包含一级共模电感的滤波电路。

实验四串口接收模块电路设计

实验四串口接收模块电路设计 一、实验目的: 1、熟练使用ISE设计工具。 2、理解串口传输协议。理解采用“自顶向下”设计思路,分解模块的方法。 3、在ISE使用Verilog HDL设计串口接收模块,完成仿真、下载。 二、原理分析 (一)串口传输协议概述 设计完成异步串口通信通用异步收发是一种典型的异步串口通信,简称UART。串口通信时序如图1所示。 图1 通用异步收发时序图 由图1可以看出,在没有数据传送时,通信线会一直处于高电平,即逻辑1状态;当有数据传送时,数据帧以起始位开始,以停止位结束。起始位为低电平,即逻辑0状态;停止位为高电平,即逻辑1状态,其持续时间可选为1位、1.5位或2位(本次设计选择持续时间1位)。接收端在接收到停止位后,知道一帧数据已经传完,转为等待数据接收状态;只要再接收到0状态,即为新一帧数据的起始状态。 数据帧的数据位低位(LSB)在前,高位(MSB)在后,根据不同的编码规则,数据位可能为5位、6位、7位或者8位(本次设计数据位定位8位)。校验位也可根据需要选择奇校验、偶校验或者不要校验(本次设计不要校验位)。 (二)串口时序分析 串口通讯常用“波特率”表述串口传输速率,常用的参数有9600 bps 和115200 bps等。在硬件传输角度看,波特率表征了传输一位数据所需要的时间。例如:波特率是9600 bps,传输一位数据的时间是1/9600= 0.000104166666666667秒。如果FPGA系统时钟是20MHZ,则一位数据传输时间相当于(1/9600)/(1/20M)=2083个20MHZ时钟周期。 设一帧数据位数=1(开始位)+8(数据位)+1(校验位)+1(结束位)=11位,所以传输一帧数据的时间是11*1/9600=0.00114583333333333333333333333333秒。 为了稳定采集串口数据帧的数据,需要在每位数据的“中间时刻”采样,由此,需要在每位数据开始时刻对时钟进行计数,若系统时钟是20MHZ,则在计数至2083/2=1042时采样此时刻的数值。 三、系统分析: 为实现串口接收电路,FPGA应该完成: 1、及时发现数据传输的开始,并判断每一位的开始。 2、按照“在数据位中间采样”的要求,确认采样时刻。 3、将采样得到串行数据转换为并行数据。

相关文档
最新文档