CPLD课程实验报告

CPLD课程实验报告
CPLD课程实验报告

CPLD课程学习报告

八周的CPLD及电子CAD实验结束了,回忆着过去八周的学习,收获很多。这八周的学习,是我从一个对CPLD完全没有概念的人变得能完成数字时钟及其扩展,其中虽然遇到了很多困难,但当困难被克服,实验结果出来是的喜悦是难以言状的。下面,分别讲述这八次课的收获和心得。

学习上,学到了知识,肯定是不小的收获,但在学习之外,我也收获了很多,首先,做实验的时候是既有分组又有合作的的,说道合作,我们了解了一个团队协作的重要性,开始的时候,由于和搭档不认识,出现过一些矛盾,但后来,我们明白了,只有协作,才能更好的完成一项工作。所以,这是我的一个很重要的收获。

回归正题,讲讲学习的收获。

首先,实验所使用的软件是:MAX+PLUSII,共完成了数字时钟及其调时,整点报时,秒表和闹钟的应用等,分别应用了文字及图形的编程方法,将所设计的电路功能下载到EPIK30TC144-1器件,以实现我们所需要的功能。

数字时钟可实现的功能

1、时、分、秒六位数码管显示(标准时间23点59分59秒);

2、具有小时、分钟校准功能;

整点报时:55,56,57,58,59低音响,整点高音,间断振铃(嘟--嘟--嘟--嘟--嘟--嘀)。

3、跑表:最大计时99秒99毫秒。独立的跑表功能,不影响数字钟正常工作。

4、定时闹钟:可在00:01到23:59之间由用户设定任一时间,到时报警。

第一课:10进制、6进制计数器

对于以上计数器,采用VHDL代码书写,(截屏如下)

图一:10进制VHDL文本

在书写VHDL文本时,应当注意文字的准确性和无错误,最值得注意的是:保存文本时应注意其文件扩展名一定要为VHD,且要注意前后文件明要保持一致。文本通过调试无错误后,接着要分配管脚,

启动MAX+PLUS~Floorplan Editor菜单命令,分别通过老师所给的管

脚分配表分配管脚(如图二)。

值得注意的是:在我们实验时,开始界面右边没有出现管脚代号,万分着急,结果,通过老师的指导,我们知道了,我们需要在Layout~last compilation floorplan,底层图编辑器将显示由最后一次编辑产生的不可编辑的视图,是该视图被存储在适配文件中。

图二:10进制管脚分配图

完成后,首先启用MAX+PLUS~programmer菜单,

选择JTAG~Multi-Device JTAG chain菜单项;再点击Sellect program file按钮,选择要下载的配置文件sof. 然后点击add按钮添加到列表中,点击OK即可。再出现的对话框中点configure,即可完成器件编程。(见图三)

对于6进制计数器,只数显示0~5五个数字,因此,对于十进制,

当m达到1001时再返回到0000,而六进制,当m到0101时再返回到0000。因此,在10进制的基础上,再作适当的修改即可得到六进制计数器。因此,六进制的为:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity hl61_cnt6 is

port(clk,clr,en:in std_logic;

q:out std_logic_vector(3 downto 0);

carry:out std_logic);

end;

architecture one of hl61_cnt6 is

signal m:std_logic_vector(3 downto 0);

begin

process(clk,clr,en)

begin

if clr='1' then

m<="0000";

elsif clk'event and clk='1' then

if en='0' then

if m="0101" then

m<="0000";

carry<='1';

图三:六进制下载结果

小结:这次课的学习,让我们学习到了VHDL语言的它使用激六进制、十进制计数器的做法。VHDL语言简洁、已修改,可读性强,我们应当好好掌握其使用方法

第二课: 60进制、24进制计数器及二选一电路

在第一课的基础上,通过一定修改,可以做出60进制和24进制计数器,以备以后做使用。在此过程中,应当注意的是:60进制应当分几个部分讨论,编写VHDL语言时,应注意思路和编写的语言都清晰、可读性强,以防出现错误代之结果无法正确显示出来。

图四:60进制计数器VHDL

对于二选一电路,其基本原理是:对于mux2设A、B两输入端口和高低电平输入口S,对于输出Y,当S=0,则Y输出A的值,否则输出B。对于输出K,当A=B,K为高电平,否则,K为低电平。第三课:数字钟设计

数字钟的实验原理是:时钟计数:秒——60进制BCD码计数;分——60进制BCD码计数;时————24进制BCD码计数。因此,对于数字钟的制作,可以采用gdf图形编写。首先创建一个新的Graphic Editor file,然后调出24进制图件一个,60进制两个,连接电

路图,图形如下图五:

图五:数字钟

当编译通过后再分配管脚,接下来过程与十进制做法类似。进行器件编程后,既可以在器件上显示最大时间为23:59:59的数字时钟。并且,通过File~create default保存后可以调出数字钟的图形文件。如

下图六:

图六:数字时钟的图形文件

注意:文件保存时要相当小心,首先,要保证文件存在同一个文件夹中,我们当时就出现了这样的错误,把不同的文件放在不同的文件夹中,结果导致调用图形文件时文件无法正确使用,是我们走了一段曲折路浪费了不少的时间,再者,文件名一定不能用中文名。最后,VHD和GDF文件都一定要与前面的总文件名保持一致,可以用便捷方法:File~project~第二项来调节。

第四课:数字钟的调分调时功能

上述数字钟完成以后,他只有清零功能,不具有其他功能,而在我们生活中的包具有多种功能,因此,我们还得设计其他功能。首先,设计调分调时功能,因此,在上述数字钟的基础上,应将代表时钟的

24进制计数器和代表分钟的60进制计数器的clk端分别连上外部控

制按钮,其gdf图如下:

图七:调分调时功能数字钟

调用输入端的指令是:input输出端:output与门:and2或门:or2

注意:如果设计项目项目你面含有多个子文件,应先对各低层文件设置成工程,编译并存盘以备后用,并要保证双击顶层文件时底层文件能够显示出来,如果双击后无法显示,应进行检查,看调用文件和存盘时是否出现问题,如果出现问题应及时修正,以防影响后续工作的顺利进行。

完成上面的以后,可以得到有调分调时功能的新数字钟图形文件,截图如下:

图九:调分调时功能数字钟图形文件

第五课:整点报时功能和选择器

首先,对于新的功能的加入,就会用到选择器,所以,我们设计了3选1,2选1的选择器;

2选1VHDL代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity hl61_mux2 is

port (s: in std_logic;

a,b,c,d,e,f,pa,pb,pc,pd,pe,pf:in std_logic_vector(3 downto 0);

ca,cb,cc,cd,ce,cf:out std_logic_vector(3 downto 0));

end;

architecture one of hl61_mux2 is

begin

process(a,b,c,d,e,f,pa,pb,pc,pd,pe,pf,s)

begin

if s='0' then

ca<=a;cb<=b;cc<=c;cd<=d;ce<=e;cf<=f;

else ca<=pa;cb<=pb;cc<=pc;cd<=pd;ce<=pe;cf<=pf;

end if;

end process;

end;

以上二选一的文件,可以是数字钟中同时实现不同的功能,对于数字钟的整点报时功能,我们还需要设计一个可以使器发出声音的则整点报时程序,如下:

ibrary ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity hl61_zdbs1 is

port (

a,b,c,d:in std_logic_vector(3 downto 0);

kdo,kd: out std_logic);

end;

architecture one of hl61_zdbs1 is

begin

process(a,b,c,d)

begin

if a>"0100"and b="0101" and c="1001" and d="0101"

then kdo<='1';

else

kdo<='0';

if a="0000" and b="0000" and c="0000" and d="0000"

then kd<='1';

else

kd<='0';

end if;

end if;

end process;

end;

做出以上准备之后,我们可以在之前做的调分调时数字钟的基础上,通过与门的连接,做出具有整点报时功能的新的数字钟,他可以在到达59分55、56、57、58、59秒低音响,整点高音响,并且间断响铃,得到的新数字钟图形文件如下:

图十:整点报时数字钟

注意:在所写的VHDL文件中所设定的低音和高音代码一定要清楚区分,一边在连接电路时连接错误,我们当时就出现了这样的错误,两种代接反了,结果使得其先出现高音响后出现低音响的错误,这也让我们吸取了教训,我们字儿程序实施一定要做到清晰可读,并且连接电路时一定要小心!

第六课:分频器和跑表

在我们设计的数字钟中,秒的输入信号是1HZ时钟信号,秒的前一级是100进制的秒表他的输入是100hz时钟,而装置上却没有100hz频率供选择,只有通过分频器的得到,因此我们设计了3000分频的分频器,其代码为:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity hl61_3000fp is

port(clk:in std_logic;

carry:out std_logic);

end;

architecture one of hl61_3000fp is

signal m:std_logic_vector(11 downto 0);

begin

process(clk)

begin

if clk'event and clk='1' then

if m="101110110111" then

m<="000000000000";

carry<='1';

else m<=m+1;

carry<='0';

end if;

end if;

end process;

end one;

接着,我们需要做的是跑表,它最大计时99秒99毫秒,所以,

通过编写,我们得到了跑表的VHDL代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity hl61_paobiao is

port(clk,clr,en:in std_logic;

mmg,mms,mmb,mg,ms,fg,fs:out std_logic_vector(3 downto 0));

end;

architecture one of hl61_paobiao is

signal mmg1,mms1,mmb1,mg1,ms1,fg1,fs1:std_logic_vector(3 downto 0);

begin

process(clk,clr,en)

begin

if clr='1' then

mmg1<="0000";mms1<="0000";mmb1<="0000";

mg1<="0000";ms1<="0000";fg1<="0000";fs1<="0000";

elsif clk'event and clk='1' then

if en='0' then

if mmg1="1001" then

mmg1<="0000";

mms1<=mms1+1;

else

mmg1<=mmg1+1;

end if;

if mms1="1001" then

mms1<="0000";

mmb1<=mmb1+1;

end if;

if mmb1="1001" then

mmb1<="0000";

mg1<=mg1+1;

end if;

if mg1="1001" then

mg1<="0000";

ms1<=ms1+1;

end if;

if ms1="1001" then

ms1<="0000";

fg1<=fg1+1;

end if;

if fg1="1001" then

fg1<="0000";

fs1<=fs1+1;

end if;

if fs1="1001" then

fs1<="0000";fg1<="0000";

ms1<="0000";mg1<="0000";

mmb1<="0000";mms1<="0000";mmg1<="0000";

end if;

end if;

end if;

end process;

mmg<=mmg1;

mms<=mms1;

mmb<=mmb1;

mg<=mg1;

ms<=ms1;

fg<=fg1;

fs<=fs1;

end;

秒表只是数字钟的一个子功能,因此也得和数字钟相联系,对于这两个元件,我们可以通过上面的二选一相连接,图形如下:

图11:跑表与数字钟

对于上述的图形,两者可以通过S进行二选一操作,通过S输入

的为高电平或低电平,进行功能的转换。

第七课:闹钟

说起闹钟,还是有点心寒的,因为在老师检查之前,我还没有完成,在最后的时候再算简单的实现了,虽然老师没有来验收,但是,我能够做出来,心里还是无限喜悦的,就如同别人说的,结果不重要,过程更美丽。

所谓闹钟,当然也得和数字中相结合,通过S输入的为高电平或低电平来实现二选一,通过24和60进制计数器对闹钟定时,当数字钟达到所设定的时间时,闹钟声会响起。也就是实现了我们所需要的功能,其截图如下:

图12:闹钟

做到这里,从整体上来讲,基本的功能算是完成了。但是,由于

时间的原因,我们还未将所有的功能连在一起,但是,做到了这里,我也算是对CPLD有了了解了,不再是对他只有模糊地概念了。

总结

八周的CPLD学习就这样画上了完美的句号,回想起这么久的学习历程,开始的时候,老师在上面讲课,我们在下面糊里糊涂的不知道在讲些什么,一步一步只知道跟着做,只知道把老师演示的每一步都用笔记本记下来。然后轮到我们自己操作的时候就依葫芦画瓢,照着做。完全没有自己的理解,但是慢慢的,我也发现了其中的一些规律和我们所因该注意的地方。逐渐的,我们也有了自己的理解,可以自己动手了,虽然这个过程比较慢,但是,学习的过程是没有界限的,只要学到了只是还是很开心的。

到了最后成果验收的时候,我们的结果虽然不是很好,当年我想,只要我们也是努力了的。后来,我也作了自我检查,我们没做好的原因有这些:首先,我们进入状态太慢了,开始的时候,安全依靠老师的讲解,照着老师的来,没有自己思考,直到到了几次课后此有了自己的思考内容。然后,我们对于错误的处理能力太差,出现错误后,往往会手足无措,同时也浪费了大把的时间。最后,我们完全依赖课上的时间,没有在课后时间下功夫。还有就是刚开始接触这类实验,对于软件的和装置的使用有着相当大的欠缺,动手能力也不强。但我相信,熟能生巧,经过以后的学习,对于这类的实验,我们做起来会更加得心应手。

课程结束了,同时也留给我们不少的回忆,就如开始的时候老师

所讲的缘分,人与人之间确实有许多无法解释的缘分。我们应珍惜生活中的际遇。

CPLD的学习让我们获益匪浅,将是我们的记忆中的一笔财富。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

CPLD可编程数字信号发生器实验

课程: 通信原理 CPLD可编程数字信号发生器实验报告 系电子信息与计算机科学系 专业电子信息科学与技术 班级 姓名 学号 指导教师 实验地点 学年学期

一、实验目的 1、熟悉各种时钟信号的特点及波形。 2、熟悉各种数字信号的特点及波形。 二、实验内容 1、熟悉CPLD可编程信号发生器各测量点波形。 2、测量并分析各测量点波形及数据。 三、实验模块 1、通信原理0 号模块一块 2、示波器一台 四、实验原理 CPLD可编程模块用来产生实验系统所需要的各种时钟信号和各种数字信号。它由CPLD可编程器件ALTERA公司的EPM240T100C5、下载接口电路和一块晶振组成。晶振JZ1用来产生系统内的32.768MHz主时钟。本实验要求参加实验者了解这些信号的产生方法、工作原理以及测量方法,才可通过CPLD可编程器件的二次开发生成这些信号,理论联系实验,提高实际操作能力。 1、CPLD数字信号发生器,包括以下五个部分: ①时钟信号产生电路; ②伪随机码产生电路; ③帧同步信号产生电路; ④NRZ码复用电路及码选信号产生电路; ⑤终端接收解复用电路。 2、24位NRZ码产生电路 本单元产生NRZ信号,信号速率可根据输入时钟不同自行选择,帧结构如下图所示。帧长为24位,其中首位无定义,第2位到第8位是帧同步码(7位巴克码1110010),另外16路为2路数据信号,每路8位。此NRZ信号为集中插入帧同步码时分复用信号。LED亮状态表示1码,熄状态表示0码。 五、实验步骤 1、观测时钟信号输出波形。 信号源输出两组时钟信号,对应输出点为“CLK1”和“CLK2”,拨码开关S4的作用是改变第一组时钟“CLK1”的输出频率,拨码开关S5的作用是改变第二组时钟“CLK2”的输出频率。拨码开关拨上为1,拨下为0,拨码开关和时钟的对应关系如下表所示拨码开关时钟拨码开关时钟 000032.768M1000128K 000116.384M100164K 00108.192M101032K 0011 4.096M101116K

实验5实验报告

学号:20164477 姓名:陈家凤 实验五SQL语言 一、目的与要求 1.掌握SQL语言的查询功能; 2.掌握SQL语言的数据操作功能; 3.掌握对象资源管理器建立查询、索引和视图的方法; 二、实验准备 1.了解SQL语言的查改增删四大操作的语法; 2.了解查询、索引和视图的概念; 3.了解各类常用函数的含义。 三、实验内容 (一)SQL查询功能 使用提供的studentdb数据库文件,先附加到目录树中,再完成下列题目,SQL命令请保存到脚本文件中。 1.基本查询 (1)查询所有姓王的学生的姓名、学号和性别 Select St_Name,St_Sex,St_ID From st_info Where St_Name like'王%' 图5-1 (2)查询全体学生的情况,查询结构按班级降序排列,同一班级再按学号升序, 并将结果存入新表new中 select*into new from st_info order by Cl_Name desc,st_ID asc

图5-2 (3)对S_C_info表中选修了“体育”课的学生的平均成绩生成汇总行和明细 行。(提示:用compute汇总计算) 因2014版本已不支持compute关键字,所以选择用其他方式。 Select c_no,score From s_c_info Where c_no=29000011 group by c_no,score 图5-3 2.嵌套查询 (1)查询其他班级中比“材料科学0601班”的学生年龄都大的学生姓名和年 龄 select st_name,born_date from st_info where cl_name!='材料科学0601班'and born_date<(select min(born_date) from st_info where cl_name='材料科学0601班')

实验报告

实验课程: 数学分析 专业: 数学与应用数学 班级: 09级数本一班 学号: 2009403078 姓名: 王h 实验一 函数极限(黑体三号) 【实验目的】1.掌握使用Matlab 求极限的方法2.通过Matlab 实验理解掌握极限的定义。 【实验内容】1.求函数极限 2. 求数列极限 3. 了解函数在某点连续 【实验所使用的仪器设备与软件平台】实验使用MATLAB 软件 【实验方法与步骤】(阐述实验的原理、方案、方法及完成实验的具体步骤等,对于必须编写计算机程序的实验,要附上编写的程序) 一、 实验原理:1.运用数列极限的定义。2.函数极限的定义。3.函数) (x f 在0x 点连续的定义。4.运用极限)(lim x f 与)(lim x f 存在的充要条件。 二、 实验方案与方法:首先了解极限的定义,然后运用Matlab 软件编写 程序求极限。在使用Matlab 时要会运用声明符号变量syms,并且针对函数求极限的情况(左极限或右极限以及趋近于某点的左右极限等不同情况)编写相应的程序。 三、 实验步骤: 1. 先确定函数极限求∞ →n lim n n ,) 1ln(cos 1lim +-→x e x x x ,2 2 ) 2(sin ln lim x x x -→ ππ ,x x arctan lim ∞ →, x x x x 2) 1( lim +∞ → 2. 以第一个为例编写程序如下:

3.再求数列极限618 .02 15lim 1 ≈-= +∞ →n n n F F (其中已知数列 ] )2 5 1( )2 5 1[(5 1F 1 1 ++--+= n n n 【实验结果】 【结果分析与讨论】

数字钟课程设计实验报告

《电子技术课程设计报告》 教学院:电气与电子信息工程学院 专业班级:xx级电子信息工程(x)班 学号:xxxxxxxxxxxx 学生:坏水 指导教师:xxxxxxxxxxxx 时间:2011.10.10~10.23 地点:电子技术实验室

课程设计成绩评定表

电子技术课程设计任务书 2011~2012学年第一学期 学生:坏水专业班级:xx电信本x班 指导教师:xxxxxxxxx 工作部门:电气与电子信息工程学院 一、课程设计题目:多功能数字钟电路的设计/直流稳压电源的设计 二、课程设计容(含技术指标): ①拟定多功能数字钟和直流稳压电源的组成框图,要现电路的基本功能,使用 的器件少,成本低; ②画出数字钟和直流稳压电源的主体电路逻辑图; ③测试多功能数字钟的逻辑功能,同时满足基本功能与扩展功能的要求; ④设计并安装各单元电路,要求布线整齐、美观,便于级联与调试;

四、基本要求 1.基本功能:要求设计出+5V的直流稳压电源。数字钟要求以数字形式显示时、分、秒的时间。小时计数器的计时要求为“12翻1”,要求具有手动校时功能。 2.扩展功能:定时控制,其时间自定;仿广播电台正点报时,自动报整点时数或触摸报整点时数(主要体现在理论知识上进行电路设计)。 (一)实训题目:直流稳压电源和多功能数字钟。 (二)实训目的: 1、巩固和加深学生对模拟电子技术,数字逻辑电路等课程基本知识的理解,综 合运用课程中所学到的理论知识去独立完成一个实际课题。 2、根据课程需要,通过查阅手册和文献资料,培养学生独立分析和解决实际问 题的能力。 3、通过电路方案的分析、论证和比较,设计计算和选用元气件,通过电路组装, 调试和检测环节,掌握电路的分析方法和设计方法。 4、熟用常用电子元气件的类型和特性,并掌握合理选用原则。 5、掌握电路图、PCB图的设计方法,学会电路的安装与调试。 6、掌握常用仪器、仪表的正确使用方法,学会电路整机指标的测试方法。(三)实训要求

计算机组成原理实验报告

实验报告书 实验名称:计算机组成原理实验 专业班级:113030701 学号:113030701 姓名: 联系电话: 指导老师:张光建 实验时间:2015.4.30-2015.6.25

实验二基本运算器实验 一、实验内容 1、根据原理图连接实验电路

3、比较实验结果与手工运算结果,如有错误,分析原因。 二、实验原理 运算器可以完成算术,逻辑,移位运算,数据来自暂存器A和B,运算方式由S3-S0以及CN来控制。运算器由一片CPLD来实现。ALU的输入和输出通过三态门74LS245连接到CPU内总线上。另外还有指示灯进位标志位FC和零标志位FZ。 运算器原理图: 运算器原理图 暂存器A和暂存器B的数据能在LED灯上实时显示。进位进位标志FC、零标志FZ 和数据总线D7…D0 的显示原理也是如此。 ALU和外围电路连接原理图:

ALU和外围电路连接原理图运算器逻辑功能表:

三、实验步骤 1、按照下图的接线图,连接电路。 2、将时序与操作台单元的开关KK2 置为‘单拍’档,开关KK1、KK3 置为‘运行’档。 3、打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。然后按动CON 单元的CLR 按钮,将运算器的A、B 和FC、FZ 清零。 4、用输入开关向暂存器A 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘1’,灭为‘0’。 ②置LDA=1,LDB=0,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数01100101 置入暂存器A 中,暂存器A 的值通过ALU 单元的 A7…A0 八位LED 灯显示。 5、用输入开关向暂存器B 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数10100111 (或其它数值)。 ②置LDA=0,LDB=1,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数10100111 置入暂存器B 中,暂存器B 的值通过ALU 单元的 B7…B0 八位LED 灯显示。 6、改变运算器的功能设置,观察运算器的输出。置ALU_B=0 、LDA=0、LDB=0,然后按表2-2-1 置S3、S2、S1、S0 和Cn的数值,并观察数据总线LED 显示灯显示的结果。如置S3、S2、S1、S0 为0010 ,运算器作逻辑与运算,置S3、S2、

会计课程实验报告

会计课程实验报告 篇一:会计综合实验课实验报告 实验报告 课程名称会计学专业综合实验 实验项目名称模拟企业会计业务处理 班级与班级代码 实验室名称(或课室) 专业会计学 任课教师 学号: 姓名: 实验日期:20 年月 广东商学院教务处制 姓名实验报告成绩 评语: 指导教师(签名)年月日 说明:指导教师评分后,实验报告交院(系)办公室保存。 会计综合实验课实验报告 一、实验目的 该课程是实践课程,是会计学专业学生理论联系实践,培养其实际操作能力的重要教学环节,是会计理论学习的继

续。 课程要求学生在理解会计基本理论、基本方法的基础上,结合使用计算机,通过系统完整的练习,模拟会计主体处理会计业务的全过程,从而使学生体会真实的会计工作环境,系统地掌握会计核算的基本程序和基本方法,训练基本技能,为进一步从事财务分析等会计管理工作做准备。 为适应信息社会对会计人员、管理人员的更高要求,提高在校学生实操能力,激发学生积极性和求知欲,针对会计学专业本科学生的特点,开设本课程。 二、实验原理 严格遵照会计准则,并根据系统所提供的相关会计核算资料,按照会计学原理中会计核算的步骤进行账务处理,按照会计监督的要求进行账务核对和监督;按照复式记账法,根据记账凭证登记账簿;按照资产=负债+所有者权益的会计恒等式进行凭证登记,账簿登记和对账工作。 三、实验设备 计算机系统 四、结果预测 会计凭证、会计账簿、会计报表 五、实验步骤 (一)设置账户 (二)根据会计核算资料,填制各类原始凭证

(三)根据所填制原始凭证,填制记账凭证 (四)根据每张记账凭证,详细登记日记账、明细账以及科目汇总表 (五)根据所填制账簿,登记总账 (六)查账对账 (七)根据所填制总账,填制资产负债表、利润表等报表 (八)会计档案保存 六、实验结果 1、资产负债表 2、利润表 篇二:会计实验报告 《会计学》实验报告 实验室会计与财务实验室 所属课程名称实验类型实验日期 指导教师 班级学号 姓名成绩 【实验目的及要求】 《会计学》是财经类专业的必修课。课程主要阐明的是会计学的基本理论、基本方法。通过本课程的学习,使学生掌握会计学的基本理论、基本方法和基本技能,熟练掌握和

课 程 实 验 报 告

课程实验报告 专业年 2012年 课程名称应用多元统计分析 指导教师 *** 学生姓名 ** 学号 *************** 实验日期 ********** 实验地点实验室 实验成绩 教务处制 2013 年1月 12 日

实验项 目名称系统聚类分析与主成分分析的上机实验 实验目的SPSS软件中factor analysis的计算机操作及结果分析,使学生能熟练应用计算机及要求软件进行聚类分析与主成分分析与结果分析,培养实际应用能力。 题目: 实某地区35个城市2004年的7项经济统计指标数据(见附表)(1)试用最短距离聚类法对35个城市综合实力进行系统聚类分析,验并画出聚类谱系图。 (2)试用主成分分析法对35个城市7项经济指标进行主成分分析,内并分析其综合实力。 注:对输出结果进行分析! 容要求: 将SPSS软件的分析过程的关键步骤截图说明,需要计算 的地方要写出详细计算步骤。 实聚类分析:1.选择菜单项:分析→分类→系统聚类分析,在系统聚类分析对话 验框中将“城市编号”变量选入“标注个案(C)”中,将其他变量选入“变量框”中,如图一所步在“分群”单选框中选中“个案”,表示进行的是Q型聚类。在“输出”复选框中选中“统计量” 骤和“图”,表示要输出的结果包含以上两项。

图一: 2. 单击“统计量(S)”按钮,在“系统聚类分析:统计量”对话框中选择“合并进程表”、“相似性矩阵”,表示输出结果将包括这两项内容。 3.单击“绘制(T)”按钮,在“系统聚类分析:图”对话框中选择“树状图”、“冰柱”,表示输出的结果将包括谱系聚类图(树状)以及冰柱图(垂直)。 4.单击“方法(M)”按钮,弹出“系统聚类分析:方法”对话框。“聚类方法(M)”选项条中可选项包括的几种方法,本实验中选择“组间联接”:“度量标准-区间(N)”选项条中可选项包括的几种度量方法,本实验中选择“平方Euclidean距离”:“转换值-标准化(S)”选项条中可选项包括几种将原始数据标准化的方法,本实验中选择“全局从0到1”。

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

软件工程课程实验报告

网上招聘求职系统 一、系统分析概述 1、社会应用 当下社会,互联网生活已经逐渐成为人们不可或缺的生活方式,计算机应用也已经渗透到日常工作的许多方面。无论是其自身还是所发挥的作用,计算机都标志着一种高科技、高效率和高水平。在短短的几十年里,网络互连的崛起是之前人们所不能想象的。其中,通过网络来招聘、管理、求职、推销自己也是日渐盛行。网络招聘求职的快速发展,与网络招聘求职平台的建设和发展有着紧密的联系。 2、系统特点 如今社会主流同城网上招聘系统是具有交互功能的人才信息管理系统,它可以实现交互、人才管理、人才交流等功能。其开发主要包括后台数据库的建立和维护以及前台程序的开发两个方面。对于前者要求建立起数据一致性和完整性强、数据安全性好的库;而后者则要求应用程序功能完备,易于使用。 二、需求分析 1、功能需求 实现网上招聘信息化管理,前台管理系统包括用户注册,企业和个人可以通过注册成为会员;招聘信息、求职信息、在线留言;站内新闻、浏览网站消息;后台管理系统包括求职招聘、新闻资讯、企业会员、系统管理员、个人会员,系统管理员可以通过登录后管理网站内的信息等。 会员登陆后,作为求职者,可以浏览各大企业发布的信息,创建自己的简历,创建和修改个人信息,发送求职信等。作为企业,主要功能有发布招聘信息,查看求职申请,发送面试通知等。作为系统管理员,是整个网站的管理者,具有最高的权限,可以对网站各个模块进行管理。 企业会员用例图

设置人才库个人会员用例图 发布求职信息系统管理员用例图

管理系统用户 系统管理员模块时序图如下: : 管理者

: 管理者 : 管理者

实验报告总结(15篇汇总)

实验报告总结第1篇 课程学习和实验的操作诚然是一门专业课必须要去做的,能够使很多专业知识以及专业技能上桌面GIS的功能与菜单操作以及对地形分析等等的实验操作的提升,同时又是一门辩思课,给我很多思,给我莫大的空间。同时,设计专题地图和数据处理让我感触很深。使我对抽象的.理论有具体的认识。经过这次课程实验学习,我掌握专业软件件的简单运用;掌握地图专题制作的不一样方法,地图匹配,属性修改,数据处理,地形分析,缓冲区分析和网络分析以及如何提高地图质量,地图美观,也掌握制图方法和技术,也懂得很多的专业术语和知识。 地理信息系统分析与应用的实验资料主要包括专题地图的制作,GIS的矢量化分析,数据误差校正,GIS数据格式转换,空间内插等等。每一步都需要大家仔细的揣摩研究,并且需要有清晰的思路,思路确定,也就在整体上把握住方向,接下来,就是把它细化,一步一步完成每一个实验模块。可是这个过程曲折可谓一言难尽。整个半天都是对着电脑,不然就是翻阅书本。再此期间我失落过,因为自我不懂的地方还很多。在做GIS实验的点点滴滴让我回味无穷,好多数据都是一边做一边为后面的操作打基础的,如果出现误差或者错误,就会导致后面的一些实验操作无法正常的开展和完成,这更是使我体会到仅有耐心细心和恒心,才能做好事情。本次的这些实验加强我们动手、思考和解决问题的本事,也进一步巩固和加深我对地理信息系统原理和方法的理解,提高 综合运用本课程所学的知识和对知识的加强理解。培养我查阅资料的本事和

独立思考,解决问题的本事。经过实际操作,应用软件的分析方法,并培养严认真的工作作风,在制作实验操作的过程中有些问题不是很理解,但当我做完这些实验后,有些问题就迎刃而解。操作时经常会遇到这样那样的错误,有的是因为粗心造成的,也有的是用错方法,总之就是实现不。同时在实验的过程中发现自我的不足之处,对以前所学的知识点理解得不够透彻,掌握得不牢固。 我认为,在这学期的GIS实验中,不仅仅培养独立思考、动手操作的本事,在各种其它本事上也都有提高。更重要的是,在实验课上,我们学会很多学习的方法。而这也是日后最实用的,真的是受益匪浅。要应对社会的挑战,仅有不断的学习、实践,再学习、再实践。这对于我们的将来也有很大的帮忙。以后,不管有多苦,我想我们都能变苦为乐,找寻趣味的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都能够在实验结束之后变的更加成熟,会应对需要应对的事情。 实验报告总结第2篇 回顾起此课程设计,感慨颇多,从理论到实践,在这学期的学习中,能够说得是苦多于甜,累,可是能够学到很多很多的东西,不仅仅巩固以前所学过的知识,也学到很多在书本上所没有学到过的知识。在实验操作与设计的过程中遇到问题也颇多,但可喜的是最终都得到解决。 此次课程实验学习给自我最大的感触是,不管什么样的软件,懂的也好不懂

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

CPLD课程实验报告

CPLD课程学习报告 八周的CPLD及电子CAD实验结束了,回忆着过去八周的学习,收获很多。这八周的学习,是我从一个对CPLD完全没有概念的人变得能完成数字时钟及其扩展,其中虽然遇到了很多困难,但当困难被克服,实验结果出来是的喜悦是难以言状的。下面,分别讲述这八次课的收获和心得。 学习上,学到了知识,肯定是不小的收获,但在学习之外,我也收获了很多,首先,做实验的时候是既有分组又有合作的的,说道合作,我们了解了一个团队协作的重要性,开始的时候,由于和搭档不认识,出现过一些矛盾,但后来,我们明白了,只有协作,才能更好的完成一项工作。所以,这是我的一个很重要的收获。 回归正题,讲讲学习的收获。 首先,实验所使用的软件是:MAX+PLUSII,共完成了数字时钟及其调时,整点报时,秒表和闹钟的应用等,分别应用了文字及图形的编程方法,将所设计的电路功能下载到EPIK30TC144-1器件,以实现我们所需要的功能。 数字时钟可实现的功能 1、时、分、秒六位数码管显示(标准时间23点59分59秒); 2、具有小时、分钟校准功能; 整点报时:55,56,57,58,59低音响,整点高音,间断振铃(嘟--嘟--嘟--嘟--嘟--嘀)。

3、跑表:最大计时99秒99毫秒。独立的跑表功能,不影响数字钟正常工作。 4、定时闹钟:可在00:01到23:59之间由用户设定任一时间,到时报警。 第一课:10进制、6进制计数器 对于以上计数器,采用VHDL代码书写,(截屏如下) 图一:10进制VHDL文本 在书写VHDL文本时,应当注意文字的准确性和无错误,最值得注意的是:保存文本时应注意其文件扩展名一定要为VHD,且要注意前后文件明要保持一致。文本通过调试无错误后,接着要分配管脚, 启动MAX+PLUS~Floorplan Editor菜单命令,分别通过老师所给的管

变压器实验报告汇总

四川大学电气信息学院 实验报告书 课程名称:电机学 实验项目:三相变压器的空载及短路实验专业班组:电气工程及其自动化105,109班实验时间:2014年11月21日 成绩评定: 评阅教师: 电机学老师:曾成碧 报告撰写:

一、实验目的: 1 用实验方法求取变压器的空载特性和短路特性。 2 通过空载及短路实验求取变压器的参数和损耗。 3 计算变压器的电压变化百分率和效率。 4掌握三相调压器的正确联接和操作。 5 复习用两瓦特法测三相功率的方法。 二.思考题的回答 1.求取变压器空载特性外施电压为何只能单方向调节?不单方向调节会出现什么问题? 答:因为当铁磁材料处于交变的磁场中时进行周期性磁化时存在磁滞现象。如果不单方向调节变压器外施电压,磁通密度并不会沿原来的磁化曲线下降,所以会影响实验结果的准确性。 2.如何用实验方法测定三相变压器的铜、铁损耗和参数?实验过程中作了哪些假定? 答:变压器的空载实验中认为空载电流很小,故忽略了铜耗,空载损耗近似等于变压器铁耗Fe P P ≈0,同时忽略了绕组的电阻和漏抗。空载时的铁耗可以直接用两瓦特法测得,根据公式2 003/I P r m ≈可以求得励磁电阻,由003/I U Z m ≈可以求得励磁阻抗,由2 2 k m m r Z X -=可以求得励磁电抗值。 在变压器的短路实验中,由于漏磁场分布十分复杂,故在T 形等效电路计算时,可取k x x x 5.0'21==σσ,且k r r r 5.0'21==。同时由于外加电压低,忽略了铁耗,故假设短路损耗等于变压器铜耗。短路损耗k P 可直接由两瓦特法测得,有公式k k k I P r 2/=可得k r ,k k k I U Z 3/=,故k k k r Z x 22-=。 3.空载和短路实验中,为减小测量误差,应该怎样联接电压接线?用两瓦特表法测量三相功率的原理。 答:变压器空载实验中应当采用电流表内接法。因为空载实验测量的是励磁阻抗,阻抗值较大,若采用电流表外接法,电压表会有明显的分流作用,从而产生较大的误差。 变压器短路实验应当采用电流表外接法。因为短路实验中测量的是漏阻抗,

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

CPLD实验报告计数器及时序电路

内蒙古工业大学信息工程学院实验报告 课程名称: CPLD/FPGA 应用开发技术 实验名称:组合逻辑电路的设计 实验类型:验证性□ 综合性□ 设计性■ 实验室名称:信息学院机房 班级:电子09-1班学号:200920203061 姓名:张佳兴组别: 同组人:成绩: 实验日期: 2012年5月2日

预习报告成绩:指导教师审核(签名):年月日 预习报告 实验二计数器及时序电路 一、实验目的: 1、了解时序电路的VHDL语言设计方法。 2、了解同步计数器的使用方法。 3、理解时序电路和同步计数器加译码电路的联系,设计任意编码计数器。 二、实验设备: 1、PC机 2、EDA实验箱(主芯片是ALTERA EPM7128SLC84-15)。 三、实验内容: 1、用VHDL语言输入法设计一个同步四位二进制加法计数器和六进制同步计 数器。 2、用74LS161两个宏连接成八位二进制同步计数器。 3、用74LS161宏,同时采用清零和置数法组成六进制和十二进制计数器。 四、实验步骤: 1、采用文本编辑器输入VHDL语言源程序,或采用原理图输入法从MF库中 调用器件74161,生成原理图,之后建立工程。 2、编译。 3、仿真。 4、对芯片进行编程。 5、根据管脚分配情况连线。 (1)根据芯片特点,管脚分配时一般将时钟信号分配给83脚,复位信号分配给1脚。若有使能信号,使能信号分配给84脚。 (2)时钟信号的连接:将实验板上提供的时钟与芯片的83脚相连。 (3)复位信号的连接:将实验板上的某按键开关输出与芯片的1脚相连。 (4)将计数器的输出端分别与LED灯相连。 6、按动复位键,观察实验结果。 7、改变输入时钟信号的频率,观察实验结果。

《数据库原理》课程实验报告.

2013-2014学年第一学期《数据库原理》 课程实验报告 学号: 20112723 学生姓名:林苾湲 班级:软件工程2011-2 教师:陶宏才 辅导老师:张建华刘宝菊 2013年12月

实验一:表及约束的创建1.1 实验目的与内容 目的:创建数据表、添加和删除列、实现所创建表的完整性约束。 内容:11-2、11-26~33。 报告:以11-31作为实验一的报告。 1.2 实验代码及结果 1.2.1 实验代码 (1)CREATE TABLE orderdetail20112723 ( Order_no char(6) PRIMARY KEY CONSTRAINT Order_no_constraint20112723 CHECK(Order_no LIKE'[A-Z][A-Z][0-9][0-9]'), Cust_no char(6) NOT NULL, P_no char(6) NOT NULL, Order_total int NOT NULL, Order_date datetime NOT NULL, CONSTRAINT person_contr20112723 FOREIGN KEY (P_no) REFERENCES person20112723(P_no) ON DELETE CASCADE ON UPDATE CASCADE, CONSTRAINT customer_contr20112723 FOREIGN KEY (Cust_no) REFERENCES customer20112723(Cust_no) ON DELETE CASCADE ON UPDATE CASCADE, ) (2)ALTER TABLE salary20112723 ADD CONSTRAINT Pno_FK20112723 FOREIGN KEY(P_no) REFERENCES person20112723(P_no) 1.2.2 实验结果 (1)

数字时钟设计实验报告

电子课程设计 题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路与校时电路构成电路。 秒时钟信号发生器可由振荡器与分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时与分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器就是数字电子钟的核心部分,它的精度与稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ? 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ? 分频器: 分频器功能主要有两个,一就是产生标准秒脉冲信号,一就是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数与进位功能。利用74LS161与74LS11设计6进制计数器显示秒的十位 ,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路 ?60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0

实验九 QPSK调制与解调实验报告

实验九QPSK/OQPSK 调制与解调实验 一、实验目的 1、了解用CPLD 进行电路设计的基本方法。 2、掌握QPSK 调制与解调的原理。 3、通过本实验掌握星座图的概念、星座图的产生原理及方法,了解星座图的作用及工程上的作用。 二、实验内容 1、观察QPSK 调制的各种波形。 2、观察QPSK 解调的各种波形。 三、实验器材 1、信号源模块 一块 2、⑤号模块 一块 3、20M 双踪示波器 一台 4、 连接线 若干 四、实验原理 (一)QPSK 调制解调原理 1、QPSK 调制 QPSK 信号的产生方法可分为调相法和相位选择法。 用调相法产生QPSK 信号的组成方框图如图12-1(a )所示。图中,串/并变换器将输入的二进制序列依次分为两个并行的双极性序列。设两个序列中的二进制数字分别为a 和b ,每一对ab 称为一个双比特码元。双极性的a 和b 脉冲通过两个平衡调制器分别对同相载波及正交载波进行二相调制,得到图12-1(b )中虚线矢量。将两路输出叠加,即得如图12-1(b )中实线所示的四相移相信号,其相位编码逻辑关系如表12-1所示。 (a ) a(0)b(0) b(1) a(1) (b ) 图12-1 QPSK 调制 /并变换。串/并变换器将输入的二进制序列分为两个并行的双极性序列110010*********和

111101*********。双极性的a 和b 脉冲通过两个平衡调制器分别对同相载波及正交载波进行二相调制,然后将两路输出叠加,即得到QPSK 调制信号。 2、QPSK 解调 图12-2 QPSK 相干解调器 由于四相绝对移相信号可以看作是两个正交2PSK 信号的合成,故它可以采用与2PSK 信号类似的解调方法进行解调,即由两个2PSK 信号相干解调器构成,其组成方框图如图12-2所示。图中的并/串变换器的作用与调制器中的串/并变换器相反,它是用来将上、下支路所得到的并行数据恢复成串行数据的。 (二)OQPSK 调制解调原理 OQPSK 又叫偏移四相相移键控,它是基于QPSK 的改进型,为了克服QPSK 中过零点的相位跃变特性,以及由此带来的幅度起伏不恒定和频带的展宽(通过带限系统后)等一系列问题。若将QPSK 中并行的I ,Q 两路码元错开时间(如半个码元),称这类QPSK 为偏移QPSK 或OQPSK 。通过I ,Q 路码元错开半个码元调制之后的波形,其载波相位跃变由180°降至90°,避免了过零点,从而大大降低了峰平比和频带的展宽。 下面通过一个具体的例子说明某个带宽波形序列的I 路,Q 路波形,以及经载波调制以后相位变化情况。 若给定基带信号序列为1 -1 -1 1 1 1 1 -1 -1 1 1 -1 对应的QPSK 与OQPSK 发送波形如图12-3所示。 1-1-11111-1-111-1111-11-111-11-1-111-11-1 基基基基I 基基Q P S K ,O Q P S K Q 基基 Q P S K Q 基基O Q P S K -1 图12-3 QPSK,OQPSK 发送信号波形 图12-3中,I 信道为U (t )的奇数数据单元,Q 信道为U (t )的偶数数据单元,而OQPSK 的Q 信道与其I 信道错开(延时)半个码元。 QPSK ,OQPSK 载波相位变化公式为 {}()33arctan ,,,()44 44j i j i Q t I t ππ?ππ? ????? =--???? ?????? ?@ QPSK 数据码元对应的相位变化如图12-4所示,OQPSK 数据码元对应相位变化如图 12-5所示

相关文档
最新文档