基于FPGA与单片机控制技术结合的低频数字相位测量仪设计_图概要

基于FPGA与单片机控制技术结合的低频数字相位测量仪设计_图概要
基于FPGA与单片机控制技术结合的低频数字相位测量仪设计_图概要

毕业设计

题目: 基于FPGA 与单片机控制技术结合的低频数字相位测量仪设计

系: 电气与信息工程系

专业: 电信班级: 0901 学号:

学生姓名:

导师姓名:

完成日期: 2013年5月

诚信声明

本人声明:

1、本人所呈交的毕业设计(论文是在老师指导下进行的研究工作及取得的研究成果;

2、据查证,除了文中特别加以标注和致谢的地方外,毕业设计(论文中不包含其他人已经公开发表过的研究成果,也不包含为获得其他教育机构的学位而使用过的材料;

3、我承诺,本人提交的毕业设计(论文中的所有内容均真实、可信。

作者签名:日期:年月日

湖南工程学院

毕业设计(论文任务书

设计(论文题目:基于FPGA与单片机控制技术结合的低频数字相位测量仪设计

姓名包敏系别电气与信息工程专业电子信息班级0901

学号指导老师熊卓列教研室主任刘望军

一、基本任务及要求

一基本任务

1硬件设计:输入信号整形电路、单片机外围电路、显示电路;

2软件设计:数据采集模块的VHDL硬件描述语言实现、单片机控制程序。

二要求

1本设计本分为三大基本组成部分:数据采集电路、数据运算控制电路和数据显示电路。其功能为对两路输入正弦信号(1~5 V范围内变化进行频率和相位测量(相位测量绝对误差≤2及数字显示(相位读数为0~359.9,分辨力为0.1

,其频率测量范围:20 Hz~20 kHz。

2通过该项目设计,完成各单元模块的硬件设计,给出其设计过程和电路图;对数据采集、单片机控制模块分别给出VHDL硬件描述语言实现的源程序和控制程序,并进行程序调试及电路的仿真、给出仿真结果。

二、进度安排及完成时间:

1月25日,指导指导老师布置任务、下达设计任务书;

3月5日至3月16日,查阅资料、撰写文献综述及开题报告

4月1日至4月24日,原理框图、总体方案设计

4月25日至5月1日,硬件部分设计

5月2日至5月15日,软件部分设计

5月16日至5月底,撰写毕业设计说明书

6月初,指导老师检查毕业设计说明书

6月10日至6月15日,修改、装订毕业设计说明书、指导老师评阅

6月15日至6月18日,毕业设计答辩

目录

摘要...................................................................... V

Abstract ................................................................. VI 第1章绪论.......................................................... - 1 -

1.1 课题研究的背景................................................ - 1 -

1.2 本课题的主要研究工作.......................................... - 3 - 第2章主要理论及技术................................................ - 4 -

2.1 频率测量...................................................... - 4 -

2.2 相位测量...................................................... - 5 -

2.3 单门限电压比较器原理.......................................... - 6 -

2.3.1 LM339介绍............................................... - 6 -

2.4 FPGA可编程控制技术............................................ - 7 -

2.4.1 FPGA 器件的设计开发流程.................................. - 7 -

2.4.2 FPGA工作原理............................................ - 8 -

2.4.3 VHDL语言介绍........................................... - 8 -

2.4.4 Quartus II软件介绍...................................... - 9 -

2.5 AT80C51单片机介绍............................................ - 10 - 第3章系统设计思路................................................. - 11 -

3.1 系统总体设计思路............................................. - 11 -

3.2 设计方案..................................................... - 11 - 第4章硬件设计...................................................... - 13 -

4.1 信号整形电路的设计........................................... - 13 -

4.2 FPGA数据采集电路的设计....................................... - 14 -

4.3 单片机数据运算控制电路的设计................................. - 15 -

4.4 数据显示电路的设计........................................... - 16 -

4.4.1 LCD12864介绍........................................... - 16 -

4.4.2 基本特性................................................ - 16 -

4.4.3管脚说明................................................ - 16 -

4.4.4 基本用途................................................ - 17 -

4.4.5数据显示电路............................................ - 17 - 第5章软件设计...................................................... - 18 -

5.1 FPGA数据测量电路............................................. - 18 -

5.2 分频器模块................................................... - 19 -

5.3 控制信号模块................................................. - 20 -

5.4 数据检测模块................................................. - 22 -

5.5 数据锁存模块................................................. - 23 -

5.6 数据选择模块................................................. - 24 -

5.7 FPGA数据测量模块总体设计..................................... - 26 -

5.7 单片机数据运算控制电路....................................... - 27 - 结束语............................................................... - 30 - 参考文献............................................................. - 31 - 致谢............................................................... - 32 - 附录............................................................... - 33 - FPGA数据采集程序................................................. - 33 - 数据显示程序..................................................... - 36 - 硬件总电路图..................................................... - 40 -

低频数字式相位测量仪的设计

摘要:基于过零检测法原理,以单片机89C51和可编程逻辑器件CPLD为核心,从数据采集﹑数据运算控制、显示等电路功能电路设计,实现了一个低频数字式相位测量仪系统。在此过程中,采用MCU与FPGA相结合的方案,将软件部分为数据采集、运算、控制和单片机控制显示两部分,充分发挥单片机具有的控制、运算能力强,FPGA 数据采集速度快的特点来对实现各个模块功能进行程序设计,同时还对相关程序进行调试和仿真,验证了其可行性,使其性能接近最优。而对硬件电路设计包括采用施密特触发器组成的整形电路、显示电路、FPGA芯片及单片机外围电路等,实现了对频率信号频率、相位差的显示,同时配合系统完成数据采集、运算、控制等功能。

在上述基础上,本文还对有关频率信号的频率、相位测量技术及理论进行了研究和分析,对FPGA可编程芯片、单片机控制等的运用进行了学习,从而为课题研究奠定了理论基础。

关键字:数据采集;单片机;FPGA;频率;相位差;

Design of Low-frequency Digital Phase Measuring

Apparatus

A b s t r a c t:This design uses the principle of zero-crossing,takes the 89C51 and CPLD as the core,based on data acquisition circuit,data operational control circuit and display circuit,achieves a low-frequency digital phase measuring apparatus,and gets the waveform simulation. This design which uses the combination of The MCU and FPGA will be divided the hardware into two parts of data acquisition processing and MCU minimum system,it give full use to the features of MCU-controlled computing for power,the FPGA data acquisition for hign speed and resource-rich.we use the FPGA to complete collection of the data,so we can accurately collect the phrase difference between the two-phase sinusoidal signal,corresponding to the time difference and the cycle of signal,so as to greatly improve the reliability of the system,then according to characteristica of the shrapnel-strong operation and the ability to control,we use the minimum system of MCU to complete the reading of data FPGA,then according to the data read calculate the frequency of signals under test and the phase difference between the two-way same frequency signal.At the same time,through the switching of function keys,the frequency and phase of signals under test will be showed by module.

Keyword:Data;Acquisition;SCM;Frequency;phase

第1章绪论

1.1 课题研究的背景

随着现代科技的发展,相位及频率计量的意义已日益明显。例如,在卫星发射、导弹跟踪、飞机导航、潜艇定位、大地测量、天文观测、邮电通信、广播电视、交通运输、科学研究、生产及生活等各个方面,在以上各方面中在移动通信同步系统中应用尤为广泛。

同步是指信号之间在频率或相位上保持某种严格的特定关系,就是它们在相应的有效瞬间以同一平均速率出现。在讨论信号的接受或解调时,常常离不开同步问

题,特别是涉及数字信号时更是如此,在一个数字通信系统中包含多种同步问题,例如,PSK信号在相干解调时,接收端需要产生一个和接收信号同频,同相的本地载波,用以和接收的PSK信号相乘。因此,这个本地载波的频率和相位信息必须来自接收信号,或者说需要从接收信号中提取载波同步信息。本地载波和接收信号载波的同步问题称为载波同步。

其次,在接收数字信号的一个码元时,为了在判决时刻对码元的取值进行判决,接收机必须知道准确的判决时刻。通常要在接收码元的结束时刻作判决,故这个判决时刻是从接收码元的起止时刻导出的,也就是说判决时刻应当和码元起止时刻同步。又如在用相关法接收时,相乘积分电路中积分的起止时刻也必须和接收码元的起止时刻同步。以上这类同步称为码元同步,或位同步。

在一般的通信系统中,除了上述载波同步和位同步外,还需要更高层次的同步,统称为群同步,群同步的功用是将接收的码元分组,以构成有意义的消息。例如,为了传输文字,可以用7个二进制码元代表一个字符,只有正确划分7个接收码元为一组,才能正确识别字符。这时的群同步又称为字同步。又如,在传输电视信号时,可以将一幅画称为一帧;一帧的像素是串行传送的。为了在接收端正确划分每幅画面像素的起止点,也需要同步。这种同步常称为帧同步,帧同步指通信双方的帧定时信号的频率相同且保持一定的相位关系。

此外,在有多个用户的通信网内,还有使网内各站点之间保持同步的网同步问题。前面提到的几种同步主要是在接收端采取措施,配合接收端共同解决。例如,在卫星通信网中,若有许多地球站和一个卫星接收机通信,大多数情况下地面发射机要利用卫星上的反馈信息,随时调整其发送频率和定时,以保持全网同步。

在模拟通信网中,传输系统中载波机两端机间的载波频率需要同步,即用于终端机的载波频率应相等或基本相等,并保持稳定,即称为载波同步。载波同步的方法可以

分为两大类。第一类是在发送端的信号中插入一个专门的导频用于载波同步。导频是一

个或几个特定频率的未经调制的正弦波。在接收端提取出导频,利用此导频的频率和相位来决定本地产生的载波频率和相位。第二类是在接收端设法从有用信号中直接提取出载波,而不需传送专门的导频。

位同步指通信双方的定时脉冲信号频率相等且符合一定的相位关系。位同步方法可以分为两大类。第一类称为外同步法,它需要在信号中外加包含位定时信息的导频或数据序列;第二类同步称为自同步法,它从信息码元序列本身中提取出位定时信息。外同步法又称为辅助信息同步法。它是在正常信息码元序列外附加位同步用的辅助信息,以达到提取位同步信息的目的。常用的外同步法是在发送端信号中插入频率为码元速率或码元速率的倍数的位同步信号。在接收端利用一个窄带滤波器,将其分离出来,并形成码元定时脉冲。自同步有两种,即开环同步和闭环同步。在开环同步中就是采用对输入码元做某种变换方法提取位同步信息。在闭环同步中,则用比较本地时钟和输入信号的方法,将本地时钟锁定在输入信号上。

群同步是根据信息流中的某些“标记”有效地区分每一码组(字或句的起点的技术。群同步信息有两类传递方法。一类方法是在发送端利用特殊的码元编码规则使码组本身自带分组信息。另一类方法是在发送码元序列中插入用于群同步的若干特殊码元,称为群同步码。群同步码的插入方法主要有两种。一种是集中插入群同步码组,另一种是分散插入群同步序列,集中插入群同步码组时,是将特定的群同步码组插到一群码元的前面,接收端一旦检测到这个特定的群同步码组就马上知道了这群码元的“头”;为了长时间地保持同步,则需要周期性地将这个特定码组插到每群码元之前;分散插入群同步序列的方法是将一种特殊的周期性序列分散插入信号序列中,在每群信号码元前插

入一个群同步码元即可。

网同步指网络中各个节点的时钟信号的频率相等,也就是多个节点之间的时钟同步,从而也可以在各个节点实现帧同步。网同步的方法分为:主从同步法、相互同步法、码速调整法、水库法;主从同步法是指通信网中某一站(主站设置一个高稳定的主时钟,其它各站(从站的时钟频率和相位同步于主时钟的频率和相位(各站时钟的

频率和相位也可以同步于GPS提供的标准时钟。相互同步法是指网内各站设有独立时钟,它们的固有频率存在一定偏差,各站所使用的时钟频率锁定在网内各站固有频率的平均值上(此平均值将称为网频。码速调整有正码速调整、负码速调整、正负码速调整和正/零/负码速调整四大类。在PDH系列中最常用的是正码速调整。水库法中各站的时钟稳定度都很高,缓冲器容量足够大,虽然写入脉冲和读出脉冲频率不相等,但缓冲器在很长时间内不发生“取空”或“溢出”现象,无需进行码速调整。

网同步是数字通信网正常工作的必要条件,是保证数字网内各种数字交换设备和传输设备同步可靠工作的重要措施。在主从同步法中要求各站(从站的时钟频率和相位同步于主时钟的频率和相位,因此频率和相位的测量便尤为重要。

1.2 本课题的主要研究工作

(1 相位与频率测量在移动通信同步系统的应用。

(2最佳相位测量与频率测量方案的研究。

(3单门限触发器与施密特触发器的原理研究。

(4 FPGA可编程控制器件的研究,其中包括FPGA器件设计开发流程、工作原理。

(5将正弦波转换为方波的信号整形电路的设计。

(6 FPGA数据采集电路的设计。

(7单片机数据运算控制电路的设计。

(8运用LCD完成数据显示的电路设计。

第2章主要理论及技术

基于FPGA 与单片机控制技术结合的低频数字相位测量仪设计包括对模拟信号进行数字化处理、数据运算及相关对象的控制、数据显示等输出环节,在这此处理过程中,涉及到诸多理论与技术,它们是构成本系统的理论基础。

2.1 频率测量

频率测量是测量信号在每秒内变化的次数,是电子测量领域的最基本测量,目前多用电子计数器测频,它具有测量精度高、速度快、自动化程度高、操作简便、直接显示数字等特点,尤其是与微处理器相结合,实现了程控化和智能化,构成智能化计数器。目前,电子计数器几乎取代了模拟式测量仪器。通常频率测量有两种方法:计数法、测周法。

(1时间基准的产生

频率是每秒内信号变化的次数。要准备地测量频率必须首先要确定一个准确的时间间隔,一般选用频率稳定度良好的石英晶体谐振器来产生这个时间基准,它在短时间内的稳定可以达到10ˉ9量级。设石英晶体振荡器产生的脉冲周期为To ,经过一系列分频可以得到几种标准的时间基准,如10ms,0.1s,1s,10s 等几种。设时间基准为T ,那么T=NoTo,No 是在时间基准T 内含有晶振本身振荡周期的整数倍数。只要分频次数准确,No 就是确切的数,则时间基准T 就是一个稳定的数值。

(2计数式频率计的测频原理

这是指在一定的时间间隔T 内,对输人的周期信号脉冲计数为:N ,则信号的频率为fx= N /T 。

量化误差的特点是:不管计数值N 为多少,其最大误差总是1±个量化单位。最大量化误差的相对值为:1±/Tfx,由此可知,当fx 一定时,增大闸门时间T ,可以减小测频误差。

标准频率误差分析:闸门时间的相对误差为:

(2.1

式中fc 为石英晶体振荡器的频率,负号表示由fc ?引起的闸门时间的误差为T ?。总误差为: (2.2 以为极限,当fx 一定时,闸门时间选得越长,测量精确度越高,而fc fc T T ?-=?||1(fc fc Tfx fx fx ?+±=?9105?=?f c

fc

当T选定后,fx越高,则±1误差对测量结果的影响越小,从而提高测量精确度。

综合考虑量化误差与标准误差得出:测量低频时不宜采用直接测频方法,宜采用测低频信号的周期再换算成被测信号的频率,从而提高测量的精确度。

计数式频率计的测量准确度主要取决于仪器本身的闸门时间的准确度和稳定度,用优质的石英晶体振荡器可以满足一般电子测量的要求。当被测信号的频率较低时,应采用测周期的方法。

(3周期的测量的测量原理

设信号周期为Tx,由晶体振荡器(或经分频电路输出时标为Ts的脉冲,将Tx 与时标Ts进行比较,若在Tx期间脉冲技术值为N,则Tx=NTs。

为了提高测量准确度,把被测信号经过几级10分频电路,使周期扩大

10,100,1000倍等,主门开放时间及脉冲数N均增长同样倍数,再通过内部电路自动移动小数点位置,使显示的数值为被测信号的一个周期所对应的时间。利用这种“周期倍乘”的方法可以减少±1误差,从而提高了测量的准确度。

2.2 相位测量

相位测量,通常是指两个同频率的信号之间相位差的测量。在电子技术中主要测量RC,LC网络,放大器相频特性,以及依靠信号相位传递信息的电子设备。测量相位的方法也有多种,其中示波法简便易行,但准确度较低;数字式相位计可以直接显示被测相位的数值,准确度较高。目前广泛使用的是直读式数字相位计,其原理是基于时间间隔测量法,通过相位-时间转换器,将相位差Φ的两个信号(分别称参考信号和

被测信号转换成一定的时间间隔τ的起始和停止脉冲,然后用电子计数器测量其时间间隔。相位测量方案通常分为三种。

方案一:将被测的两路正弦波信号经过波形整形为方波信号,利用异或门电路进行鉴相处理,将得到的脉冲序列经过RC平滑滤波取出其直流分量,该直流电平的幅值与两路信号的相位差成正比,将此信号送入A/D转换器由单片机进行运算处理从而计算出相位差值。

方案二:采用脉冲填充计数法,将正弦信号经波形整形称为方波信号,其前后沿分别对应于正弦波的正相过零点与负相过零点,对两路方波信号进行异或操作之后输出脉冲序列的脉宽可以反映两列信号的相位差,以输入信号所整成的方波信号作为基频,经锁相环倍频得到的高频脉冲作为闸门电路的计数脉冲,由单片机对获取的计数值进行处理得到两路信号的相位差。

方案三:将两路被测正弦波信号整成方波信号,在一路信号的上升沿来时鉴相部分

同方案二,将两路方波信号异或后与品振的基准频率进行与操作,得到一系列的高频窄脉冲序列。通过两片计数器同时对该脉冲序列进行计数,一路方波信号送入单片机外部中断口,作为控制信号控制两片计数器,得到的两路技数值送入单片机进行处理得到相位差值。对以上三种方案进行比较,方案一在低频段时,RC滤波电路的输出波动很大,难以达到要求的相位精度,而方案二在所测频率较高时,受锁相环工作频率等参数的影响会造成相位差测量的误差,极大地影响测量的精度,采用方案三由高精度的晶振产生稳定的基准频率,可以满足系统高精度、高稳定度的要求。

2.3 单门限电压比较器原理

2.3.1 LM339介绍

LM339集成块内部装有四个独立的电压比较器,其外形及管脚排列如图2.1所示。

图2. 1 LM339芯片引脚排列图

在图2.1中,LM339每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点,另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输出端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K。选不同阻值得上拉电阻会影响输出端高电位的值。另外各比较器的输出端允许连接在一起使用。

图2.2 单限比较器图

图2.2给出了一个基本单限比较器。输入信号Uin,即待比较电压,它加到同相输入端,在反相输入端接一个参考电压(门限电压Ur。当输入电压Uin>Ur时,输出为高电平UoH。

2.4 FPGA可编程控制技术

2.4.1 FPGA 器件的设计开发流程

高密度可编程逻辑的开发,需要易于设计并实现最快时间上市。一般FPGA芯片的设计开发过程主要分为:设计准备、设计输入、设计实现、设计验证和器件编程。基本的开发设计流程如下图2.3所示:

图2.3 FPGA的设计开发流程图

设计准备阶段:在进行FPGA器件的设计开发之前,首先要进行方案论证、系统设计和器件选择等设计准备工作。设计者首先要根据任务要求,如系统所完成的功能和复杂程度,对工作速度和器件本身的资源和成本、连线的可布性等方面进行权衡,选择合适的方案和合适的器件类型。

设计输入阶段:设计输入是将要实现的逻辑关系以开发系统所支持的方式输入计算机,设计者将所设计的系统或电路以开发软件要求的某种形式表示出来并输入到计算机,这是设计FPGA的开始。有多种方法实现设计输入,经常采用的方式有:原理图、硬件描述语言(VHDL、波形输入等,最常用的是原理图编辑器。

设计处理阶段:FPGA器件的设计处理是设计流程中的核心环节,在设计处理过程中,编译软件将对设计输入的文件进行逻辑化简、综合优化,并且适当地用一片或多片器件自动地进行适配,最后产生编程用的编程文件。设计处理阶段一般包括语法检查和设计规则检查、逻辑优化和综合、适配和分割、布局布线、生成编程数据文件等。

设计验证阶段:FPGA器件的设计验证包括功能仿真和时序仿真。功能仿真是在设计输入完成之后,在选择具体的器件进行编译之前进行的逻辑功能验证,此仿真无延时信

息,对于系统初步的功能检测非常方便。时序仿真是在布局布线后进行,它能按照所选器件的实际延迟时间进行模拟,主要用来验证系统的时序关系。

器件编程:FPGA器件的编程是指将编程数据写到编程器件中去。器件编程要满足一定的条件,如编程电压、编程时序和算法等。FPGA中有大量实现组合逻辑的资源可以完成较大规模的组合逻辑电路设计,而其中相当数量的存储电路(触发器又可完成复杂的时序逻辑电路设计。通过使用各种EDA工具,用原理图或硬件描述语言,可以很方便的将复杂的电路在FPGA中实现。编程器是对FPGA进行写入和擦出的专用装置。能够提供写入或擦除操作所需要的电源电压和控制信号,并通过串行接口从计算机接收编程数据,最终写进FPGA之中。

2.4.2 FPGA工作原理

FPGA采用了逻辑单元阵列LCA(Logic Cell Array这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block、输出输入模块IOB(Input Output Block和内部连线(Interconnect三个部分。FPGA的基本特点主要有:

1. 设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。

2. FPGA可做其它全定制或半定制ASIC电路的中试样片。

3. FPGA内部有丰富的触发器和I/O引脚。

4. FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

5. FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电

时,FPGA芯片将EPROM 中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此FPGA 的使用非常灵活。

2.4.3VHDL语言介绍

VHDL全名Very-High-Speed Integrated Circuit HardwareDescription Language, VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。VHDL主要用于描述数字系统的结构,行为,功能和

接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统分成外部(或称可视部分,及端口和内部(或称不可视部分,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

1. 与其他硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

2. VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

3. VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

4. 对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表

5. VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

2.4.4 Quartus II软件介绍

本设计采用的仿真软件为Quartus II, Quartus II 是Altera公司的综合性

PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:

1. 可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;

2.芯片(电路平面布局连线编辑;

3.LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;

4. 功能强大的逻辑综合工具;

5. 完备的电路功能仿真与时序逻辑仿真工具;

6. 定时/时序分析与关键路径延时分析;

7. 可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;

8. 支持软件源文件的添加和创建,并将它们链接起来生成编程文件;

9. 使用组合编译方式可一次完成整体设计流程;

10. 自动定位编译错误;

11. 高效的期间编程与验证工具;

12. 可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;

13. 能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件

Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

2.5 AT80C51单片机介绍

AT80C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory的低电压,高性能CMOS8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT80C51是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

其主要特性:

·与MCS-51 兼容

·4K字节可编程闪烁存储器

·寿命:1000写/擦循环

·数据保留时间:10年

·全静态工作:0Hz-24Hz

·三级程序存储器锁定

·128*8位内部RAM

·32可编程I/O线

·两个16位定时器/计数器

·5个中断源

·可编程串行通道

·低功耗的闲置和掉电模式

·片内振荡器和时钟电路

第3章系统设计思路

3.1 系统总体设计思路

单片机可理解为集成在单一芯片上的微型计算机系统,麻雀虽小可是五脏俱全,也有运算器、控制器、存储器、总线及输入输出设备。而FPGA则是操控层次更低,自由度更大的芯片,对FPGA的编程在编译后是转化为FPGA内的连线表,相当于FPGA内提供了大量的与非门、或非门、触发器等基本数字器件,编程决定了有多少器件被使用以及它们之间的连接。

单片机是诸如通讯接口、定时器、实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。产品可选范围大,低成本、高可靠、高安全、易于编程、低功耗。

相位测量仪

辽宁工业大学 电子综合设计与制作(论文)题目:低频数字式相位测量仪 院(系):电子与信息工程学院 专业班级:电子班 学号: 学生姓名: 指导教师: 教师职称: 起止时间:2013.12.13-2014.1.10

电子综合设计与制作(论文)任务及评语

摘要 该设计是低频数字式相位测量仪,设计思路为输入一个低频正弦信号通过分支路正常输出,另一路不通过移相器输出一个相位改变频率不变的正弦波。得到上述两路频率相同相位不同的信号后就要测出两信号的相位差和频率,在做此工作前先要经过相位测量前置级信号处理电路,由阻抗变换和放大、限幅、电平转换、整形电路组成。经过相位测量前置级信号处理电路得到两路方波,通过异或门输出一个脉冲序列与晶振产生的基准脉冲波进行与操作得到调制后的波形,在一定的时间范围内对脉冲的个数进行计数通过计算得到相位差和频率。再通过单片机控制显示器显示出所需结果。 关键词:低频;正弦;移相器;异或门;整形;

目录 第1章可编程增益放大器设计方案论证 (1) 1.1可编程增益放大器的应用意义 (1) 1.2可编程增益放大器设计的要求及技术指标 (1) 1.3 设计方案论证 (2) 1.4 总体设计方案框图及分析 (3) 第2章可编程增益放大器各单元电路设计 (4) 2.1 输入调整电路设计 (5) 2.2 中间级放大电路设计 (5) 2.3 输出级电路设计 (5) 2.4 增益调整电路设计 (6) 第3章可编程增益放大器整体电路设计 (7) 3.1 整体电路图及工作原理 (7) 3.2 电路参数计算 (7) 3.3 整机电路性能分析 (8) 第4章设计总结 (9) 参考文献 (10)

数字式相位差测量仪说明书4

目录 绪论 (1) 摘要 (2) 1 结构设计与方案选择 (3) 1.1 基于过零检测法的数字式相位差测量仪方法概述 (4) 1.1.1 相位-电压法 (4) 1.1.2 相位-时间法 (5) 1.2 方案的比较与选择 (6) 2 相位-时间法单元电路的原理分析与实现方法 (6) 2.1 前置电路设计与分析 (6) 2.1.1 放大整形电路的分析与实现 (6) 2.1.2 锁相倍频电路的分析与实现 (7) 2.2 计数器及数显部分的设计与分析 (9) 2.2.1 计数器部分的分析与实现 (9) 2.2.2 译码显示部分的分析与实现 (10) 3 结论 (12) 4 参考文献 (13) 附录1:元器件名细表 (14) 附录2:相位时间法总体电路原理图 (15) 附录3:相位时间法总体电路PCB板 (16) 附录4:相位时间法总体电路PCB板3D视图 (17)

随着科学技术突飞猛进的发展,电子技术广泛的应用于工业、农业、交通运输、航空航天、国防建设等国民经济的诸多领域中,而电子测量技术又是电子技术中进行信息检测的重要手段,在现代科学技术中占有举足轻重的作用和地位。数字相位差测试仪在工业领域中是经常用到的一般测量工具,比如在电力系统中电网并网合闸时,需要两电网的电信号相同,这就需要精确的测量两工频信号之间的相位差。更有测量两列同频信号的相位差在研究网络、系统的频率特性中具备重要意义。相位测量的方法很多,典型的传统方法是通过显示器观测,这种方法误差较大,读数不方便。为此,我们设计了一种数字相位差测量仪,实现了两列信号相位差的自动测量及数显。近年来,随着科学技术的迅速发展,很多测量仪逐渐向“智能仪器”和“自动测试系统”发展,这使得仪器的使用比较简单,功能越来越多。 本低频数字相位测量仪主要是测量电压和电流的相位差,由整形放大电路、基本门电路、锁相倍频、计数译码等集成电路构成。测量的分辨率可达到0.1°,可测信号的频率范围为0Hz~250Hz,幅度为0.5Ⅴ,由于74HC4046的性能比较好,使得所制得的仪器精度相对较高,达到了任务书中所规定的要求。

低频数字式相位测量仪(缪学进)

低频数字式相位测量仪 该系统由相位测量仪、数字式移相信号发生器和移相网络三个模块构成,分别由两块单片机独立地实现控制与显示功能。采用DDS技术生成两路正弦波信号,并通过改变存储器中数据读取的起始地址来实现数字移相的功能,用Ф-T变换技术来实现相位差的测量,使得显示分辨率精确到0.01o,测得的频率与相位差值送入LCD进行显示,加入红外键盘以及语音播报的功能,使得系统具有智能化、人性化的特色。 关键词:相位测量频率测量数字移相DDS语音播报 一方案论证与设计 1 相位测量方案 方案一:采用脉冲填充计数法。将正弦波信号整成方波信号,对两路方波信号进行异或操作之后输出脉冲序列的脉宽可以反映两列信号的相位差,以输入信号所整成的方波信号作为基频,经锁相环倍频得到的高频脉冲作为闸门电路的计数脉冲,由单片机对获取的计数值进行处理得到两路信号的相位差。 方案二:鉴相部分同方案一,将两路方波信号异或后与晶振的基准频率进行与操作,得到一系列的高频窄脉冲序列。通过两片计数器同时对该脉冲序列以及基准源脉冲序列进行计数,一路方波信号送入单片机外部中断口,作为控制信号控制两片计数器。得到的两路计数值送入单片机进行处理得相位差值。 对以上方案进行比较,方案一在所测频率较高时,受锁相环工作频率等参数的影响会造成相位差测量的误差,采用方案二由高精度的晶振产生稳定的基准频率,可以满足系统高精度、高稳定度的要求。 2频率测量方案 方案一:用专用频率计模块来测量频率,如ICM7216芯片,其内部带放大整形电路,可以直接输入正弦信号,外部振荡部分选用一块高精度晶振和两个低温度系数电容构成10MHz振荡电路,其转换开关具有0.01s,0.1s,1s,10s四种闸门时间,量程可以自动切换,待计数过程结束时显示测频结果。该方案外围硬件电路较为复杂。 方案二:利用可编程计数器来实现频率的测量,将被测信号转换为方波信号输入可编程计数器8254的某一路Clk端口,并将Gate端置为高电平,利用单

推荐-FPGA和MCU的相位测量仪的设计 精品

存档日期:存档编号: 本科生() 题目:基于FPGA和MCU的相位测量仪的设计 学院:电气工程及自动化学院 专业:电气工程及其自动化 XX大学教务处印制

随着社会和历史的不断进步,相位测量技术广泛应用于国防、科研、生产等各个领域,对相位测量的要求也逐步向高精度、高智能化方向发展,在低频范围内,数字式相位测量仪因其高精度的测量分辨率以及高度的智能化、直观化的特点得到越来越广泛的应用。 本文首先论述了相位测量技术的国内外发展概况,并根据现状设计了此相位测量系统。该设计包括系统设计的理论分析,系统结构设计及硬件实现,最终验证了该测量系统的可行性和有效性。 该设计采用单片机与FPGA相结合的电路实现方案,很好地发挥了FPGA的运算速度快、资源丰富、编程方便的特点,并利用了单片机的较强运算、控制功能,使得整个系统模块化、硬件电路简单、使用操作方便。文章主要介绍设计方案的论证、系统硬件和软件的设计,给出了详细的系统硬件电路图和系统软件主程序流程图。 关键词: 数字式相位测量仪单片机 FPGA 设计方案

Along with the social and historical progress, phase measurement technology is widely used in national defense, scientific research, production and other fields, on the phase measurement requirements are also gradually to high precision, high intelligent direction, in the range of low frequency digital phase measurement instrument, because of its high precision measurement resolution and highly intelligent, intuitive characteristics have been more and more widely applied. This text first discusses the phase measuring technology development in domestic and international, and according to the present situation designs the phase measuring system. The design includes system design theory analysis, system structure design and hardware realization, finally verified the feasibility and validity of the system. The bination of MCU and FPGA is adopted in the design .It has the features of FPGA high operating speed, abundant resources and convenient programming. And the use of MCU’s strong operation and control function, which makes the whole system modularized, the hardware circuit is simple and the operation is convenient. The paper mainly introduces the designs of the demonstration, hardware and software, the hardware circuits and main software program are given in detail.

相位测量仪

目录 前言 (2) 一、功能特点 (3) 二、技术指标 (3) 三、结构外观 (4) 1.结构尺寸 (4) 2.面板布置 (4) 3.键盘说明 (5) 四、液晶界面 (6) 五、使用方法 (10) 六、打印功能 (13) 七、注意事项 (13) 附录:三相三线计量接线48种接线结果 (14) 差动保护正确矢量图 (16)

前言 随着电力行业的发展和微机综合自动化产品的推广应用,保护回路和计量回路的接线正确与否直接影响到电力系统工作的稳定性和电费计量的准确性,而这两点正是电力系统非常重要的两个方面。由于保护装置和高压计量装置的接线比较多,容易造成错误接线,而又不易被察觉,(尤其是差动保护的复杂接线,有时高低侧同时引入,又存在不同的联结组别,极易接错,而在平时运行中又可能不会误动或拒动,存在很大的隐患)。武汉华亿通电气有限公司根据现场测试需要,适时开发出SL型矢量分析仪。它集多功能于一身,即可做相位仪校验主变差动保护和母线差动的正确性,又可作为电参量测试仪测试电力系统必要的参数,还可用做三相三线电能计量接线检测仪器。采用dsp交流采样,可同时测量3路电压和6路电流模拟量,仪器首创9通道矢量同屏显示,人机对话界面友好,使用简便,大大方便了现场使用,是电力工作者的得力助手。

一、功能特点 1、大容量锂电池供电,连续工作长达4小时。 2、3路电压,6路电流矢量同屏显示,国内首创。 3、集保护矢量分析;相位伏安测试;电能计量接线矢量分析多种仪器于 一身。 4、大屏幕、高亮度的液晶显示,全汉字菜单及操作提示实现友好的人机 对话,触摸按键使操作更简便,宽温液晶带亮度调节,可适应冬夏各季。 5、用户可随时将测试的数据通过微型打印机将结果打印出来。 6、体积小重量轻:283×218×128,2kg 7、预留双USB接口,可外接优盘等移动存储设备。 二、技术指标 1、输入特性 电压测量范围:0~450V。 电流测量范围:0~6A。 2、准确度 电压、电流、频率:±0.2% 功率:±0.5% 3、工作温度:-15℃~ +40℃ 4、充电电源:交流160V~260V 5、绝缘:⑴、电压、电流输入端对机壳的绝缘电阻≥100M?。 ⑵、工作电源输入端对外壳之间承受工频2KV(有效值),历时1 分钟实验。 6、体积:32cm×28cm×13cm 7、重量:2Kg

低频数字式相位测量仪

低频数字式相位测量仪(C 题) 一、任务 设计并制作一个低频相位测量系统,包括相位测量仪、数字式移相信号发生器和移相网络三部分,示意图如下: 二、要求 1、基本要求 (1)设计并制作一个相位测量仪(参见图1) a .频率范围:20Hz ~20kHz 。 b .相位测量仪的输入阻抗≥100k 。 c .允许两路输入正弦信号峰-峰值可分别在1V ~5V 范围内变化。 d .相位测量绝对误差≤2°。 e .具有频率测量及数字显示功能。 f . 相位差数字显示:相位读数为0o ~359.9o ,分辨力为0.1°。 (2)参考图2制作一个移相网络 a .输入信号频率:100Hz 、1kHz 、10kHz 。 b .连续相移范围:-45°~+45°。 c .A '、B '输出的正弦信号峰-峰值可分别在0.3V ~5V 范围内变化。 2.发挥部分 (1)设计并制作一个数字式移相信号发生器(图3),用以产生相位测量仪所需的输入 图3 数字式移相信号发生器 图1 相位测量仪

正弦信号,要求: a.频率范围:20Hz~20kHz,频率步进为20Hz,输出频率可预置。 b.A、B输出的正弦信号峰-峰值可分别在0.3V~5V范围内变化。 c.相位差范围为0~359°,相位差步进为1°,相位差值可预置。 d.数字显示预置的频率、相位差值。 (2)在保持相位测量仪测量误差和频率范围不变的条件下,扩展相位测量仪输入正弦电压峰-峰值至0.3V~5V范围。 (3)用数字移相信号发生器校验相位测量仪,自选几个频点、相位差值和不同幅度进行校验。 (4)其它。 三、评分标准 四、说明 1、移相网络的器件和元件参数自行选择,也可以自行设计不同于图2的移相网络。 2、基本要求(2)项中,当输入信号频率不同时,允许切换移相网络中的元件。 3、相位测量仪和数字移相信号发生器互相独立,不允许共用控制与显示电路。

数字式相位差测量仪

专业方向课程设计报告 课题名称:数字式相位差测试仪姓名: 学号: 班级: 专业: 归口系部: 起迄日期: 指导教师: 提交报告日期: 2015年12月18日

数字式相位差测试仪 目录 一、设计任务和目的 _________________________________ - 1 - (一)设计任务 ___________________________________ - 1 - (二)设计目的 ___________________________________ - 1 - 二、设计要求 ________________________________________ - 1 - 三、工作原理 _______________________________________ - 1 - 四、设计框图 _______________________________________ - 2 - 五、主要参考器件(软件仿真,用Proteus) ____________ - 2 - 六、各模块电路分析 _________________________________ - 3 - (一)移相电路部分_______________________________ - 3 - (二)放大整形电路部分___________________________ - 3 - (三)锁相倍频电路部分___________________________ - 4 - (四)计数器及数字显示部分_______________________ - 5 - (五)相位超前于滞后显示部分_____________________ - 6 - 六、仿真___________________________________________ - 7 - 七、心得体会 _______________________________________ - 8 - 八、参考文献 _______________________________________ - 8 - 附:数字式相位差总电路图_____________________________ - 9 -

低频数字式相位测量仪(余蜜)

电子测量原理 低频数字式相位测量仪 班级:电子信息工程 姓名:何静峰 学号:20114075158 日期:2014年4月15日

系统方案 1 相位测量仪方案 方案一:单周波计数法。将有相位差的两路方波信号进行”异或”后作为闸门,在高电平时,利用外部高频信号进行计数,在下降沿将数据读出,低电平时对计数器清零。设晶振频率为f c ,测得信号的频率为f r ,计数值为N ,则相位差ph as e为 o c r N f f phase 180??= 方案二:定时间计数。将高频时钟信号和两路信号异或得到的信号进行“与”,在设定时间s 内利用其上跳变沿计数,设高频时钟频率为f c,计数值为N,则 o c sf N phase 180?= 方案三:多周期同步计数法。设被测信号的频率为f,则将一被测信号进行f1倍(f 取整)分频,则在f 1周期内(保证测量时间在1s左右),被测信号异或与参考高频信号相与的信号sin gal1的计数为N1,同时期参考高频信号的计数为N,则 o N N phase 1801?= 以上三种方案都可以采用一个D 触发器将相位测量的相位扩展到o 0-o 360。方案一需高速时钟,按题目要求,在20kHz 信号时的相位差分辨率为0.1o,则要求时钟最少为72MHz ,实现困难。而方案二测量时间段一定,存在遗漏0~1个周波的情况,从而引入较大的误差。方案三的读数与异或得到的信号同步,不存在遗漏问题,误差很小,故采用此方案。 2 移相信号发生器

⑴频率合成器方案 方案一:采用函数发生器8038。可以同时产生正弦波、三角波、方波,频率可由调制电压控制,但此方案难以实现相移,而且输出频率不稳定。 方案二:采用直接数字频率合成(DDFS)方案。用存储器存储所须的波形量化数据,采用不同时钟频率的地址计数器,根据计数值读出存储器中的量化数据,再经D/A转换后滤波整形输出。此方案可以很好地控制两路波形的相位差以及频率。 经上述比较,我们采用方案二。 ⑵幅度控制 方案一:利用可调电位器手动调节电压幅值。 方案二:通过控制D/A的参考电压控制输出波形的幅度。参考电压可通过对另一D/A置数从而输出不同电压,进而控制输出波形的幅度。 方案二可以预置幅值,并且比较精确,方便操作,故选方案二。 经上面方案论证,我们采用如下的系统方案: 设计技术指标 (1)相位测量仪 a.频率范围:20Hz~20kHz。

数字相位差测量仪的设计

目录 1.设计任务书。 2.设计方案概述。 3.V/f变换测量相位差角的工作原理。 4.电路的组成及参数选择。 4.1整形电路及信号C的形成。 4.2滤波电路的参任务计划书。 4.3V/f变换电路的设计。 4.4 89C52内部资源的利用。 5.应用实例。 6.结论。 7.总结。 一、设计任务书 (一)任务 设计仿真一数字相位计 (二)主要技术指标与要求: (1)输入信号频率为0HZ~250HZ可调 (2)输入信号的幅度为0.5V (3)采用数码管显示结果,相位精确到0.1° (4)采用外部5V直流电源供电 (三)对课程设计的成果的要求(包括图表) 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书。要求图纸布局合理,符合工程要求,所有的器件的选择要有计算依据。 二、设计方案概述 根椐设计任务书的要求,我们参考了一些相关资料书,经过小组的讨论分析,提出了一种用v/f变换测量交流电的相位差的新方法:首先产生出其幅度正比与相位差大小的直流电,再有v/f变换器转换成反映相位差大小的频率信号,在单片机的配合下,最终得到相位差。这种方法具有分辨率高,适应与大范围的各种输入频率等优点。 正弦交流电电信号相位差的测量可以用多种方法实现。比较直接的数字式测量方法是在已知信号周期的前提下用定时的方法测得相位差角对应的时间,然后根据已知的周期将其换算成相位差角度。但

是,这种方法的测量精度依赖于定时器的精度和分辨率。在信号频率较高或频率虽不高但相位差较小时,都可以出现较大的误差。另外,由于直接测量得到的是时间,相位差角要由这一中间结果与信号的周期运算后才能得到,所以周期的测量不可缺少,其测量的精度也将影响相位差的精度。 在此用一种新的思路进行相位差的测量,用v/f变换器把相位差转换成一个其频率与之成正比的脉冲列,通过计算在一定时间内的脉冲个数测量相位差角。这种测量方法与信号的周期无关,可以得到较高的精度。题达到了0.1的测量精度,与此同时工业运行控制中现场操作,修改和设置等问题也得到了很好的解决,以上这些都在工业运行中得到了厂方的认可。存在的问题主要是本仪器通用性很不强,很难在更大的范围应用和推广,只能运用与某些特定的企业。今后的工作主要硬件和软件的改进上,列入增加一些通用行很强的功能模块。 3.V/f变换测量相位差角的工作原理 首先将输入的两个同频率但存在着相位差的信号进行整形,使之变成方波。如图1示A和B 再对A,B进行异或处理, 异或输出信号C 的脉冲宽度则反映相位差角.C 的脉宽T1对应的电角度是相位差角,C 的周期T2 是信号周期T 的1/2.如果信号角频率为w 则T1= /w. C为幅值为U 的方波其平均值Ud=UT1/T2=U 由此可见,C 的平均值( 亦即直流分量)仅与相位差角和脉冲幅 度有关与信号周期无关

数字式相位差测量仪

《电子技术》课程设计报告课题:数字式相位差测量仪 班级电气1112 学号 1111205423 学生姓名孟雷 专业电气工程及其自动化 院系电气学院电子系 指导教师专业方向课程设计指导小组 淮阴工学院 电子信息工程系 2014年12月

一、设计目的与任务 《电子信息工程专业方向》课程设计是一项重要的实践性教育环节,是学生在完成本专业所有课程学习后必须接受的一项结合本专业方向的、系统的、综合的工程训练。在教师指导下,运用工程的方法,通过一个较复杂课题的设计练习,可使学生通过综合的系统设计,熟悉设计过程、设计要求、完成的工作内容和具体的设计方法,掌握必须提交的各项工程文件。其基本目的是:培养理论联系实际的设计思想,训练综合运用电路设计和有关先修课程的理论,结合生产实际分析和解决工程实际问题的能力,巩固,加深和扩展有关电子类方面的知识。 通过课程设计,应能加强学生如下能力的培养: (1)独立工作能力和创造力; (2)综合运用专业及基础知识,解决实际工程技术问题的能力; (3)查阅图书资料、产品手册和各种工具书的能力; (4)工程绘图的能力; (5)编写技术报告和编制技术资料的能力。 二、设计要求 1、被测信号为正弦波(或者是方波),频率为40~60Hz,幅度大于等于0.5V;相位测量精度为1度;用数码管显示测量结果。 2、主要单元电路和元器件参数计算、选择; 3、画出总体电路图; 4、提交格式上符合要求、内容完整的设计报告

三、总体设计 在电工仪表、同步检测的数据处理以及电工实验中,常常需要测量两列同频信号的相位差。例如,电力系统中电网并网合闸时,要求两电网的电信号之间的相位相同,这需要精确测量两列工频信号的相位差。相位测量的方法很多,典型的传统方法是通过显示器观测,这种方法误差较大,读数不方便。为此,我们设计一种数字式相位差测量仪,该仪以可编程逻辑器件(PLD) 和锁相环(PLL) 倍频电路为核心,实现了两列信号相位差的自动测量及数显。 相位差测量仪的原理框图(以分辨率为1°为例)如图1 所示。基准信号(相位基准) f R 经放大整形后加到锁相环的输入端,在锁相环的反馈环路中设置一个N = 360 的分频器,使锁相环的输出信号频率为360f R ,但相位与f R 相同,这个输出信号被用作计数器的计数时

高精度相位测量仪的介绍及测量

高精度相位测量仪的介绍及测量 相位介绍 相位是与电路结构有关的参数。 相位是反映交流电任何时刻的状态的物理量。交流电的大小和方向是随时间变化的。比如正弦交流电流,它的公式是i=Isin2πft。i是交流电流的瞬时值,I是交流电流的最大值,f是交流电的频率,t是时间。随着时间的推移,交流电流可以从零变到最大值,从最大值变到零,又从零变到负的最大值,从负的最大值变到零。 相位(phase)是对于一个波,特定的时刻在它循环中的位置:一种它是否在波峰、波谷或它们之间的某点的标度。是描述讯号波形变化的度量,通常以度(角度)作为单位,也称作相角。当讯号波形以周期的方式变化,波形循环一周即为360° 。常应用在科学领域,如数学、物理学等 相位调整 相位调整是指在有些超低音音箱上加装的一个控制机构。用于对超低音音箱所重放出的声音稍许加以延迟,从而让超低音音箱的输出能够和前置主音箱同相位,即具有相同的时间关系。 相位噪声 相位噪声是频率域的概念,是对信号时序变化的另一种测量方式,其结果在频率域内显示。 如果没有相位噪声,那么振荡器的整个功率都应集中在频率f=fo处。但相位噪声的出现将振荡器的一部分功率扩展到相邻的频率中去,产生了边带(sideband)。从图2中可以看出,在离中心频率一定合理距离的偏移频率处,边带功率滚降到1/fm,fm是该频率偏离中心频率的差值。 相位噪声通常定义为在某一给定偏移频率处的dBc/Hz值,其中,dBc是以dB为单位的该频率处功率与总功率的比值。一个振荡器在某一偏移频率处的相位噪声定义为在该频率处1Hz带宽内的信号功率与信号的总功率比值。 相位差 两个频率相同的交流电相位的差叫做相位差,或者叫做相差。这两个频率相同的交流电,可以是两个交流电流,可以是两个交流电压,可以是两个交流电动势,也可以是这三种量中的任何两个。

低频数字式相位测试仪—开题报告

低频数字式相位测试仪的研究 一、设计背景和意义: 相位测量技术的应用已深入到许多领域,广泛应用于国防、科研、学校和厂矿,传统相位测量使用的是指针式仪表,但随着电子技术的发展,数字显示相位仪不断涌现。利用了51单片机的高速硬件捕获功能来实现频率和相位的测量;并利用A/D转换器对数据进行进一步的处理,在高低频段分别采用多次测量、滤波算法、矢量分解、便宜修正等算法消除干扰提高精度,采用大屏幕液晶显示测量详细信息;利用AVRmega8515配合16.384MHZ的高速晶振,采用软件DDFS实现双路数字式移相信号发生器,使用优化算法是当今科技发展对低频数字式相位测量仪的新要求。 二、设计的主要内容以及具体要求: 2.1设计的主要内容 低频数字是相位测量仪实际需要设计和制作的三个独立的部分:(1)数字相位测量仪;(2)数字式移相信号发生器;(3)移相网络。本系统由两块独立的CPU组成。 本系统以51单片机以及可编程逻辑器件为核心,由模拟移相网络、数字式相位测量仪(含测频功能)、数字式移相位测量仪的核心为数字鉴相器及高速计数器,频率计采用高精度恒定误差测频法。信号发生器使用直接数字频率合成(DDFS)技术,并使用汉字液晶显示模块,操作界面友好。系统的测量精度及其它指标均达到了设计要求。 2.2设计的具体要求 (1)设计并制作一个相位测量仪 a.频率范围:20Hz~20KHz。 b.允许两路输入正弦信号峰-峰值可分别在1V~5V范围内变化。 c. 相位测量仪的输入阻抗≥100K。 d. 相位测量绝对误差≤。 e. 具有频率测量及显示功能。 f. 相位差数数字显示:相位读数为~,分辨力为。 (2)移相网络 a.输入信号频率:100Hz,1K,10Kz。 b.连续相移范围:~ c. A`,B`输出的正弦信号峰-峰值可分别在0.3V~5V范围内变化。 十进制数字显示,显示刷新时间1~10秒连续可调,对上述三种测量功能分别用不同颜色的发光二极管指示。 三、设计的实现方案: 方案论证 数字移相技术的核心是:先将模拟信号或移相角数字化,经移相后再还原成模拟信号。移相方案主要有以下几种。 方案一:利用D/A转换实现相移

数字式相位差测量仪

一、设计目的与任务 《电子信息工程专业方向》课程设计是一项重要的实践性教育环节,是学生在完成本专业所有课程学习后必须接受的一项结合本专业方向的、系统的、综合的工程训练。在教师指导下,运用工程的方法,通过一个较复杂课题的设计练习,可使学生通过综合的系统设计,熟悉设计过程、设计要求、完成的工作内容和具体的设计方法,掌握必须提交的各项工程文件。其基本目的是:培养理论联系实际的设计思想,训练综合运用电路设计和有关先修课程的理论,结合生产实际分析和解决工程实际问题的能力,巩固,加深和扩展有关电子类方面的知识。 通过课程设计,应能加强学生如下能力的培养: (1)独立工作能力和创造力; (2)综合运用专业及基础知识,解决实际工程技术问题的能力; (3)查阅图书资料、产品手册和各种工具书的能力; (4)工程绘图的能力; (5)编写技术报告和编制技术资料的能力。 二、技术指标与要求 1、被测信号为正弦波(或者是方波),频率为40~60Hz,幅度大于等于0.5V;相位测量精度为1度;用数码管显示测量结果。 2、主要单元电路和元器件参数计算、选择; 3、画出总体电路图; 4、提交格式上符合要求、内容完整的设计报告 三、工作原理

在电工仪表、同步检测的数据处理以及电工实验中,常常需要测量两列同频信号的相位差。例如,电力系统中电网并网合闸时,要求两电网的电信号之间的相位相同,这需要精确测量两列工频信号的相位差。相位测量的方法很多,典型的传统方法是通过显示器观测,这种方法误差较大,读数不方便。为此,我们设计一种数字式相位差测量仪,该仪以可编程逻辑器件(PLD) 和锁相环(PLL) 倍频电路为核心,实现了两列信号相位差的自动测量及数显。 相位差测量仪的原理框图(以分辨率为1°为例)如图1 所示。基准信号(相位基准) f R 经放大整形后加到锁相环的输入端,在锁相环的反馈环路中设置一个N = 360 的分频器,使锁相环的输出信号频率为360f R ,但相位与f R 相同,这个输出信号被用作计数器的计数时钟。被测信号f S 经放大整形再2 分频后得到的f S/ 2与f R/ 2 送入由异或门组成的相位比较电路,其输出脉冲A 的脉宽tp 反映了两列信号的相位差;利用这个信号作为计数器的闸门控制信号使计数器仅在f R 与f S的相位差tp 内计数,这样计数器计得的数即为f R 与f S 之间的相位差。于计数时钟频率为360f R ,因此,一个计数脉冲对应1°。计数的值经锁存译码后通过LED 数码管显示。这种测量方法可以从波形图图2 得到理解和说明。图中D 触发器用于判断f R 与f S 的相位关系,当Q 为1 时, f R 超前于f S ,相位取正值,符号位数码管显示全黑; 当Q 为0 时, f R 滞后于f S ,相位取负值,符号位数码管显示“ - ”。

数字相位测量仪

电子设计竞赛报告 电子设计竞赛报告 题目: 数字相位测量仪设计报告 院系名称:电气工程学院专业班级:电气F1104班学生姓名:陈x超学号: 指导教师:教师职称:副教授 评语及成绩: 指导教师: 日期:

摘要 本设计提出了一种基于c8051f020单片机开发的低频数字相位测量仪的方案。主要包括相位测量模块、单片机最小系统、显示模块的设计。可以对低频率范围的信号进行相位等参数的精确测量,测相绝对误差不大于1°。相位测量模块采用对输入的两路信号(同频率、不同相位)通过比较器整形、鉴相器异或之后得到的相位差,输入到单片机的中断口进行数据采集处理;采用数码管显示被测信号的相位差。硬件结构简单,软件采用汇编语言实现,程序简单可读写性强、效率高。与传统的电路系统相比,其有处理速度快、稳定性高、性价比高的优点。 关键词相位差单片机比较器整形数码管

目录

1.方案设计 1.1设计方案论证 从功能角度来看,相位测量仪要完成信号相位差的测量。相位测量仪有两路输入信号,也是被测信号,他们是两个同频率的正弦信号,频率范围为20Hz~20KHz (正好是音频范围),幅度为U PP =1~5V ,但两者幅度不一定相等。 相位和相位差的概念[4]: 令正弦信号为: ()()0sin ?ω+=t A t A m (2.1) 2.1式中Am 称为幅值(最大值),且A A m 2=,A 称为有效值;()0 ?ωθ+=t t 称为相位,0?称为初相位,ω称为角频率。Am 、ω、0?称为正弦量的三要素。 只有两个同频率的(正弦)信号才有相位差的概念。不妨令两个同频率的正 弦信号为: ()()()() 02220111sin sin ?ω?ω+=+=t A t A t A t A m m (2.2) 则相位差: ()()02010201???ω?ωθ-=+-+=t t (2.3) 由2.3式中可看出,相位差在数值上等于初相位之差,θ是一个角度 不妨令θωθT =,其中θT 是相位差θ对应的时间差,且令T 为信号周期,则有比例关系: θθ:360:T T = (2.4) 可以推导得到: ()360/?=T T θθ (2.5) 式子2.5中可以说明,相位差θ与θT 一一对应,可以通过测量时间差θT 及信号周期T ,计算得到相位差θ,这就是相位差的基本测量原理。 由于相位差的基本测量原理可知,相位差的测量本质上是时间差θT 及信号周期T 的测量,也就是时间的测量,而时间的测量不可避免地要用到电子计数器。 时间的测量有多种方法,而设计题目关于相位测量仪的技术指标要求会影响到我们对方案的选择,MCU 应用系统一般能较好的实现各种不同的测量及控制功能,往往还能满足一些设计要求比较高的技术指标,因此,我们在进行电子系统设计时,可用MCU 实现系统功能,完成系统指标。

用单片机低频数字式相位测量仪430

低频数字式相位测量仪c11 石油大学(华东) 尚海燕曹善甫梁锴 摘要 本系统由两片独立的CPU组成。用MSP430实现基本要求中的相位、频率、电压测量及其数字和图形显示功能;用AVRmega8515实现扩展要求中的数字式移相信号发生器及其设置频率和相位的功能。本设计充分利用了MSP430的高速硬件捕获功能来实现频率和相位的测量,并利用AD转换器对数据进行进一步处理,在高低频段分别采用多次测量、滤波算法、矢量分解、偏移修正等算法消除干扰提高精度,采用了大屏幕液晶显示测量的详细信息。利用AVRmega8515配合16.384MHz的高速晶振,采用软件DDFS实现双路数字式移相信号发生器,由于使用优化算法,实现了高达每秒655.36K次的双路相位计算,输出频率为20Hz-40.48KHz,可实现20Hz的步进,系统硬件结构简单,频率、相位稳定度高;采用数码管显示和按键设置频率及相位差。移相网络安题目要求由常规的模拟器件组成。本系统主要由相位测量、移相网络和数字式移相信号发生器三大模块组成。 一、方案比较与论证 1 、相位测量部分 方案一:传统的模拟法。该方案采用倍频、计数、门控等电路。此方法难以实现大频率

范围的相位测量,精度低、稳定性差。 方案二:采用双通道高速A/D对输入的信号进行采集,然后FFT和基波的矢量分解的方法计算出这两个信号的基频和相位。该方案精度高,算法简单,对畸变波形有一定的处理能力。但要求在AD采集前作频率测量,在信号频率较高时,需要使用超高速AD转换器并且需要较高的计算能力,一般需要使用DSP进行信号处理。硬件复杂,难度较高。 方案三:整形鉴相法。将输入的两相位不同的正弦波通过比较器进行整形,变成方波。然后将两方波进行异或比较输出,从而得到两输入信号的过零时间差和两信号的周期,通过计算获得信号的频率和相位。该方案较简单,但普通单片机需要通过扩展外部电路,增强计时、计数能力才能达到满足题目要求的精度。 方案四:采用较高性能的混合信号处理器MSP430,采用方案三和方案二相结合的方式对输入信号进行处理,在高低频段混合采用矢量分解和两相比较器输出方波信号跳变时间的分析,准确计算出频率和相位差。此方法由于使用了混合信号处理器MSP430,集成度高,片内包含多路高速且有缓冲存储能力的俘获单元,可以准确记录方波信号跳变时间,片内包括速度高达200k sps且有连续操作和缓冲存储能力的12位ADC,为扩展各项功能提供了支持。软件部分实现了自动频率测量、相位测量、信号源电压测量、信号波形显示,用软件采用平均、矢量等算法进行多次复合测量消除噪声干扰、接触不良等引起的误差,并能在输入信号异常(如直流分量高、信号严重畸变、信号太小、输入信号超量称等)状态下自动报警,给出提示。此方案硬件比方案二和方案三都简单,而且测量精度高,功耗低,体积小。 2、数字式移相信号的产生部分 方案一:采用单片机的定时器产生数字信号,通过滤波或锁相等环节输出正弦信号。该方案对单片机要求低,但产生的信号频率低,频率步进大,模拟部分产生较大相移,难以保证输出的相位精度,很难达到设计要求。方案二:采用硬件直接数字频率合成(DDFS)技术产生数字信号。直接频率合成方法具有频率转换时间短、近载频相位噪声性能好、精度高,产生的信号频率范围宽等优点,但由于需要采用地址,相位计算,访问存储器操作等环节,导致直接频率合成器结构复杂、体积庞大、成本高,功耗大。而且即使使用大规模的PLD,也需要单片机来实现键盘、显示的控制等工作。 方案三:采用软件DDFS方法产生数字信号。该方案硬件实现简单,产生的信号精度高,频率范围宽。采用高速的AVR单片机,使用16.384MHz的晶体振荡器可实现软件DDFS算法,可以以655.36K的速度刷新双D/A,可实现20Hz的频率步进和从20Hz到40.96KHz的可移相的0~360度的信号输出。完全可以满足题目的要求。此方案由于使用了较高性能的单片机和充分优化的软件结构,在不降低系统性能的条件下,硬件简单、成本低、功耗低、可靠性高,具有较高的实用性。 3、模拟移相部分 采用常见的模拟器件电阻,电位器,电容和运放的组合电路实现移相。直接对模拟信号进行移相,如阻容移相,变压器移相等,早期的移相通常采用这种方式。采用这种方式制造的移相器有许多不足之处,如:输出波形受输入波形的影响,移相操作不方便,移相角度随所接负载和时间等因素的影响而产生漂移等。该方案由于使用模拟器件,因此精度不是很高,硬件系统比较复杂。 此类方案形式较多,但都难以消除作为模拟系统的弱点,在此不作详细讨论。 题目的基本要求部分既是采用此方案,我们按要求完成了这部分电路。

基于FPGA的数字显示相位差测量仪

基于FPGA的数字显示相位差测量仪 [摘要] 本文主要介绍了数字显示相位差测试仪的设计方案和硬件部分。针对FPGA的特点,在数字相位差测量系统的设计思想上,给出了一种用FPGA 芯片EP1K10TC144-3实现相位差智能化测量仪的方案。该测量仪只需少量的外围电路,有效将测量信号正弦波,方波、三角波信号移相放大,整形为所需要的方波信号,且不失真,测量这些信号的相位差,硬件电路简单,实现了输入阻抗大,误差小,精度高,抗干扰强。 [关键词] FPGA 相位差测量晶振 1.基于该题目 数字显示相位差测量仪,我们在方案设计上采用了三种不同的方案,各方案各有优缺点,在综合考虑了几种方案后,我们选定了基于FPGA的数字显示相位差测量仪,因为FPGA是在可编程专用集成电路(ASIC)的设计基础上发展起来的。由于它们集成度高、可重复编程,并能实现系统级编程(ISP),在近10年内得到迅速发展。FPGA的集成度、工作速度不断提高,包含的资源越来越丰富,可实现功能越来越强大,具有静态可重复编程或在线动态重构的特性,使得硬件功能可以像软件一样通过编程来修改,不仅使设计修改变得十分容易,而且大大提高了电子系统的灵活性和通用能力,已成为当今实现电子系统集成化的重要手段。该测量仪只需少量的外围电路,有效将测量信号正弦波,方波、三角波信号移相放大,整形为所需要的方波信号,且不失真,测量这些信号的相位差,硬件电路简单,实现了输入阻抗大,误差小,精度高。 2.系统设计思想 2.1系统设计 整个测量我们分为两个部分,一部分是测量输入的信号A的频率,在这一部分中,将一个78125的晶振经一个计数分频得到频率为0.5HZ的信号(0.5HZ 信号的周期为T=2),当原信号A与0.5HZ的信号与非的时候,其低电平半周期时(也就是T=1S时),会有一段脉冲个数,将此脉冲个数送入计数器计数输出,输出的值即为原信号的频率值;另一部分则是测量输入的两个同频异相信号A 和B的相位差,而我们输入的都是单个的模拟信号,所以在测试相位差的时候首先应产生两个同频异相的信号。因此必须使输入原信号A通过一个移相网络,得到两个同频异相的信号(两个输出信号中一个是原信号A,另一个是移相后的信号B)。然后将A,B两个信号经放大整形进行异或得到相位差信号C,同时将A信号3600倍频,在将C信号与3600倍频后的信号3600fc进行与非,然后将输出信号D通过计数器计数,将所得计数值N经过算法计算后得到信号的相位差值送往数码管显示。 那数码管显示的值和相位差又有什么关系呢?我们可以先看下面的算法:

数字式相位差测量仪

《电子技术》课程设计报告 班级电气1112 学号 1111205423 学生姓名孟雷 专业电气工程及其自动化 院系电气学院电子系 指导教师专业方向课程设计指导小组 淮阴工学院 电子信息工程系 2014年12月

一、设计目的与任务 《电子信息工程专业方向》课程设计是一项重要的实践性教育环节,是学生在完成本专业所有课程学习后必须接受的一项结合本专业方向的、系统的、综合的工程训练。在教师指导下,运用工程的方法,通过一个较复杂课题的设计练习,可使学生通过综合的系统设计,熟悉设计过程、设计要求、完成的工作内容和具体的设计方法,掌握必须提交的各项工程文件。其基本目的是:培养理论联系实际的设计思想,训练综合运用电路设计和有关先修课程的理论,结合生产实际分析和解决工程实际问题的能力,巩固,加深和扩展有关电子类方面的知识。 通过课程设计,应能加强学生如下能力的培养: (1)独立工作能力和创造力; (2)综合运用专业及基础知识,解决实际工程技术问题的能力; (3)查阅图书资料、产品手册和各种工具书的能力; (4)工程绘图的能力; (5)编写技术报告和编制技术资料的能力。 二、设计要求 1、被测信号为正弦波(或者是方波),频率为40~60Hz,幅度大于等于0.5V;相位测量精度为1度;用数码管显示测量结果。 2、主要单元电路和元器件参数计算、选择; 3、画出总体电路图; 4、提交格式上符合要求、内容完整的设计报告

三、总体设计 在电工仪表、同步检测的数据处理以及电工实验中,常常需要测量两列同频信号的相位差。例如,电力系统中电网并网合闸时,要求两电网的电信号之间的相位相同,这需要精确测量两列工频信号的相位差。相位测量的方法很多,典型的传统方法是通过显示器观测,这种方法误差较大,读数不方便。为此,我们设计一种数字式相位差测量仪,该仪以可编程逻辑器件(PLD) 和锁相环(PLL) 倍频电路为核心,实现了两列信号相位差的自动测量及数显。 相位差测量仪的原理框图(以分辨率为1°为例)如图1 所示。基准信号(相位基准) f R 经放大整形后加到锁相环的输入端,在锁相环的反馈环路中设置一个N = 360 的分频器,使锁相环的输出信号频率为360f R ,但相位与f R 相同,这个输出信号被用作计数器的计数时

相关文档
最新文档