EDA技术论文

EDA技术论文
EDA技术论文

第1章 EDA技术

随着大规模集成电路技术和计算机技术的不断发展,在涉及通信、国防、航天、医学、工业自动化、计算机应用、仪器仪表等领域的电子系统设计工作中,EDA技术的含量正以惊人的速度上升,电子类高新技术项目的开发也更加依赖于EDA技术的应用。即使是普通的电子产品的开发,EDA技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性能价格比大幅提高。不言而喻,EDA技术将迅速成为电子设计领域中的极其重要的组成部分。

1.1 EDA技术的含义及特点

EDA(Electronic Design Automation,电子系统设计自动化)技术是20世纪90年代初从CAD(计算机辅助设计),CAM(计算机辅助制造),CAT (计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。现代EDA 技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,能自动地完成用软件方式描述的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPGA/CPLD器件,就可以得到最后的设计结果。尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。[3]

可见,利用EDA技术进行电子系统的设计具有以下几个特点:一是用软件的方式设计硬件;二是用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;三是采用自顶向下(top--down)的设计方法;四是设计过程中可用有关软件进行各种仿真;五是系统可现场编程,在线升级;六是整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA代表了当今电子设计技术的最新发展方向。

1.2EDA技术的主要内容

EDA技术涉及面很广,内容丰富,从教学和实用的角度看,主要应掌握如下个4个方面的内容:一是大规模可编程逻辑器件;二是硬件描述语言;三是

软件开发工具;四是实验开发系统。其中,大规模可编程逻辑器件是利用EDA 技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。

1.3 FPGA、VHDL语言

FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA采用了逻辑单元阵列(LOA,Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块(CLB,Configurable Logic Block)、输入输出模块(IOB,Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有:

(1)采用FPGA设计ASIC电路,用户不需要投片生产就能得到合用的芯片;

(2)FPGA可做其他全定制或半定制ASIC电路的试样片:

(3)FPGA内部有丰富的触发器和I/O引脚;

(4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一;

(5)FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度和可靠性的最佳选择之一。

目前FPGA的品种很多,有XILINX的xc系列、TI公司的TPC系列、ALTERA 公司的FIEX系列等。

VHDL于1983年有美国国防部(DOD)发起创建,由IEEE进一步发展并在1987年作为“IEEE标准1076”发布。从此,VHDL成为硬件描述语言的业界标准之一。VHDL作为一个规范语言和建模语言,随着VHDL的标准化,出现了一些支持该语言的行为仿真器。由于创建VHDL的最初目标是用于标准文档的建立和电路功能模拟,其基本想法是在高层次上描述系统和元件的行为。但到了20世纪90年代初,人们发现,VHDL不仅可以作为系统模拟的建模工具,而且可以作为

电路系统的设计工具,可以利用软件工具将VHDL源码自动地转化为文本方式表达的基本逻辑元件连接图,即网表文件。这种方法显然对于电路自动设计师一个极大的推进。很快,电子设计领域出现了第一个软件设计工具,即VHDL逻辑综合器,它把标准VHDL的部分语句描述转化为具体电路实现的网表文件。

VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,在语言易读性和层次化结构化设计方面表现了强大的生命力和应用潜力。因此,VHDL支持各种模式的设计方法:自顶向下雨自底向上或混合方法,在面对当今许多电子产品生命周期缩短,需要多次重新设计以融入最新技术、改变工艺等方面,VHDL具有良好的适应性。用VHDL进行电子系统设计的一个很大的优点神设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。

1.4 Quartus II软件介绍

Quartus II应用开发工具提供完整的多平台设计环境,它可以轻易满足特定设计的需要,是可编程片上系统(SOPC)设计的综合性环境。Quartus II可在个人计算机或Unix/Linus工作站上使用,大大简便了整个设计过程,做到真正的快速CPLD/FPGA应用开发。与早期的MAX+plus II开发工具相比,Quartus II 提供更为广泛的器件支持库、更高的编译效率、更好的图形界面和更为便捷的仿真平台。Quartus II全面支持Altera公司出品的芯片产品,就4.0版本而言,它支持的芯片型号包括ACEX 1K、APEX全系列、APEX II、基于ARM技术的Excalibur系列、Cyclone、FLEX全系列、HardCopy Stratix、MAX II、MAX全系列、Mercury、Stratix、Stratix II以及Stratix GX等。

Quartus II为电路设计者提供了完整的多平台设计环境,它可以满足众多特定设计的需要。Quartus II拥有CPLD/FPGA各个开发阶段对应的开发工具,设计者通过它的集成开发环境可一次性完成整体应用的开发。图1.1所示是利用Quartus II完成应用开发的流程图。

图1.1 Quartus II设计流程

第2章电梯控制系统

2.1 设计背景与意义

目前国内七八十年代安装的许多电梯电气部分用继电器接触器控制系统,线路复杂,接线多,故障率高,维修保养难,许多已处于闲置状态,其拽引系统多采用交流双速电机系统换速,效率低,调速性能指标较差,严重影响电梯运行质量。由于这些电梯交流调压调速系统,交流双速电机拖动系统性能及乘坐舒适感较差,交流调压调速系统属能耗型调速的机械部分无大问题,为节约资金,大部分老式电梯用户希望对电梯的电气控制系统进行改造,提高电梯的运行性能。因此对电梯控制技术进行研究,寻找适合我国老式电梯的改造方法具有十分重要的意义。

电梯作为高层建筑物的重要交通工具与人们的工作和生活日益紧密联系。FPGA/CPLD作为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一。

由于FPGA/CPLD具有性能稳定、抗干扰能力强、设计配置灵活等特点。因此在工业控制方面得到了广泛应用。自90年代后期FPGA/CPLD引入我国电梯行业以来,由FPGA/CPLD组成的电梯控制系统被许多电梯制造厂家普遍采用。并形成了一系列的定型产品。在传统继电器系统的改造工程中,FPGA/CPLD系统一直是主流控制系统。电梯控制系统分为调速部分和逻辑控制部分。调速部分的性能对电梯运行是乘客的舒适感有着重要影响,而逻辑控制部分则是电梯安全可靠运行的关键。为了改善电梯的舒适感和运行的可靠性,现在都改为用FPGA/CPLD

来控制电梯的运行,这样大大提高了电梯的性能。

2.2 设计要求

采用FPGA设计一个10层的电梯控制系统,用VHDL语言进行程序设计,用

Quartus II软件进行结果仿真。该控制器可控制电梯完成10层楼的载客服务。要求遵循方向优先原则,能响应提前关门或延迟关门,并具有超载报警和故障报警;同时指示电梯运行情况和电梯内外请求信息。

第3章电梯控制系统整体设计方案

3.1 不同的方案设计

3.1.1 基于单片机的电梯控制设计方案

电梯控制系统的工作原理是:当某层有电梯信号输入时,电梯信号锁存系统将电梯信号锁存,待单片机查询到电梯信号后,根据电梯信号的位置(即楼层数)和电梯所处的位置,决定电梯运行方向,并启动电梯到电梯层停梯、开门,待乘客进入电梯关门后,再根据乘客要求把乘客送到目的层。用单片机实现电梯控制系统的结构原理框图如图3.1所示。[9]

图3.1 单片机电梯控制系统的方框图

3.1.2 基于PLC的电梯控制设计方案

电梯PLC的控制系统和其他类型的电梯控制系统一样主要由控制系统和拖动控制系统两部分组成。其基本结构图如图3.2所示,主要硬件包括主机及扩展、机械系统、轿厢操纵盘、厅外呼梯盘、指层器、门机、调速装置与主拖动系统等。

图3.2 PLC电梯控制系统的基本结构图

3.1.3 基于FPGA的电梯控制设计方案

该设计采用方向优先控制方式方案,并能响应提前关门延时关门,并具有超载报警和故障报警;同时指示电梯运行情况和电梯内外请求信息。它主要由4个模块组成:外部数据高速采集模块、信号存储模块、基于FPGA的控制器模块、信号的输出、显示模块。

3.2 方案比较与选择

采用单片机的电梯控制系统是以MCS-51系列单片机为控制核心与可编程接口芯片和采用串入并出移位寄存器的显示驱动电路及带有比较器的信号输入滤波电路构造的一个主控板并利用软件手段实现对电梯运行的逻辑控制。结构简单,运行可靠,耗电量少且便于维修,具有造价低廉,维修方便之特点,但没FPGA控制方便灵活。

采用PLC的电梯控制系统是一种用于自动化控制的专用计算机,实质上属于计算机控制方式。PLC控制一般具有可靠高、易操作、维修、编程简单、灵活性强等特点。在设计中要使用到变频器,其功能强,使用灵活,由于PLC的针对性较强,每一台PLC都是根据一个设备而设计的,且使用到继电器,所以价格较昂贵,操作复杂。

采用FPGA的电梯控制系统通过对器件内部的设计来实现系统功能,是一种基于芯片的设计方法。设计者可以根据需要定义器件内部逻辑和引出端,将电路板设计的大部分工作放在芯片的设计中进行,通过对芯片设计实现数字系统的逻辑功能。灵活的内部功能块组合,引出端定义等,可大大减轻电路设计和电路板设计和电路板设计的工作量和难度,有效的增强设计的灵活性,提高工作效率。同时采用可编程逻辑器件,设计人员在实验室可反复编程,修改错误,以期尽快开发产品,迅速占领市场。基于芯片的设计方法可以减少芯片的数量,缩小系统体积,降低能源消耗,提高系统的性能和可靠性。

基于FPGA的电梯控制系统比基于单片机的控制更灵活、更方便于设计,基于PLC的控制系统的针对性要强,一台PLC只针对于一个设备而设计且要使用到继电器,所以价格昂贵,综合考虑使用FPGA设计电梯控制系统比较适合。

3.3 整体方案设计

设计一个10层楼的电梯控制器,该控制器可控制电梯完成10层楼的载客服务而且遵循方向优先原则,方向优先控制是指电梯运行到某一楼层时先考虑这一楼层是否有请求:有,则停止;无,则继续前进。停下后再启动时的步骤:

①考虑前方——上方或下方是否有请求:有,则继续前进;无,则停止;

②检测后方是否有请求,有请求则转向运行,无请求则维持停止状态。

这种运行方式下,电梯对用户的请求响应率为100%,且响应的时间较短,电梯在维修停止状态的时候可以进入省电模式,又能节省大量电能。具体功能如下:

(1)完成10层楼的载客服务控制;

(2)电梯初始位置为第一层;

(3)电梯运行时显示电梯的运行方向和所在的楼层;

(4)当电梯到达选择的楼层时,电梯自动开门;

(5)当电梯到达本层时,清除请求;

(6)具有提前关电梯门和延时关电梯门的功能;

(7)电梯处于等待状态时,上升和下降请求都响应;

(8)电梯处于上升状态时,有上升请求的分控制器所在楼层数大于电梯所在

的楼层数。

(9)电梯处于下降状态时,有下降请求的分控制器所在楼层数小于电梯所在的楼层数。[2]

系统方框图如图3.3。如果压力传感器连续采集到3 次关门中断信号,则启动电梯故障信号,除非人为对故障进行清除,否则电梯将保持开门状态并不进行任何操作。如果压力传感器采集到电梯超载,电梯将报警,并保持开门状态不进行任何操作,直到压力传感器采集到电梯不再超载。电梯打开后,如果用户不进行任何操作,电梯3 秒后将自动关门,如果用户按提前关门键,电梯将立即响应关门指令。控制器模块对电梯内及电梯外用户的上升和下降请求进行处理,处理结果并置后存入信号存储模块。电梯在运行过程中,每到达一层将通过控制器模块,对信号存储模块的存储指令进行比对,以判断是否需要停止,并通过光敏采集到的信

第4章电梯控制系统的硬件设计

4.1 外部数据高速采集模块设计

有效的对外部信号采集、处理要求电梯控制器:对外部请求信号的实时、准确采集;准确、实时的捕捉楼层到达信号;有效防止楼层到达信号、外部请求信号的误判。

控制器采用FPGA作为系统控制的核心,系统时钟频率是32MHz,完全可以满足实时采集数据的要求。由于电路中毛刺现象的存在,信号的纯净度降低,单个的毛刺往往被误作为系统状态转换的触发信号,严重影响电梯的正常工作。可以采用多次检测的方法解决这个问题,对一个信号进行多次采样以保证信号的可信度。

外部请求信号的输入形式为按键输入,到达楼层信号来自光敏传感器,关门中断信号及超载信号则产生于压力传感器。

4.1.1 键盘输入

外部请求信号输入采用键盘输入,用带有I/O口的线组成行列结构,按键设置在行列的交点上。本题目采用5×6的行列结构可以构成30个键盘,实际使用30个。按键设置在行列线交叉点,行、列线分别连接到按键开关的两端。列线通过上拉电阻接+5V的电压,即列线的输出被钳位到高电平状态。

判断键盘中有无按键按下时通过行线送入扫描信号,然后从列线读取状态得到的。其方法是依次给行线送低电平,检查列线的输入。如果列线信号全为高电平,则代表低电平信号所在的行中无按键按下;如果列线有输入为低电平,则低电平信号所在的行和出现低电平的列的交点处有按键按下,电路图如图4.1所示。

D

C

R1

R ES2

R2

R ES2

R3

R ES2

R4

R ES2

R5

R ES2

R6

R ES2 VCC

图4.2 请求信号操作电路

4.3 基于FPGA的控制器模块

控制器模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有9种状态:等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。

控制器模块采用FPGA芯片,编写控制程序后下载到芯片内,实现对电梯系统的控制。其使用的芯片是Xilinx公司Spartan II系列的XC2S15-5CS144C芯片。Spartan II器件的集成度可以达到15万门,系统速度可达到200MHz,能达到ASIC 的性价比。Spartan II 2.5V现场可编程们Spartan II器件的工作电压为2.5V,采用0.22μm/0.18μm CMOS工艺,6层金属连线制造。

4.4 信号的输出、显示模块

本系统的输出信号有三种:一种是电机的升降控制信号(两位)和开门/关门控制信号;一种是面向用户的提示信号(含楼层显示、方向显示、已接受请求显示等);一种是对于超载、故障的报警信号。

电机的控制信号一般需要两位,本系统中电机有3种工作状态:正转、反转和停转状态。两位控制信号作为一个三路开关选通信号,此三路开关选用模拟电子开关。

系统的显示输出包括数码管请求信号显示、数码管楼层显示。电路图如图4.3,4.4所示。

图4.4 数码管楼层显示电路图

本系统具有请求信号显示功能,结合方向显示,可以减少用户对同一请求的输入次数,这样就延长了电梯按键的使用寿命。假如电梯处于向上运动状态,

信号显示(上升请求、下降请求、无请求),就可以避免没必要的重复请求信号输入。电梯使用时,系统结合相应的电梯使用规范完全可以满足人们的需要,而且效率比较高。

图4.5 报警输出电路图

报警输出电路图主要由频率放大器构成。当电梯内超载时,压力传感器输出的电压超出范围时,双限比较器输出低电平,触发了报警电路,发出报警信号。

EDA论文

摘要 本文的目的是设计单稳态触发器,运用了VHDL语言,结合Multisim12.0软件进行了单稳态触发器的仿真图与原理图的设计,使电路设计过程具有快捷性、高效性和准确性,完成符合质量要求的555单稳态触发器的设计。 关键词 VHDL语言;单稳态触发器;Multisim12.0

Abstract The purpose of this paper is to design a monostable trigger, use the VHDL language, the simulation graph monostable multivibrator and schematic diagram with Multisim12.0 software, the circuit design process isfast, efficient and accurate, complete and meet the quality requirements ofthe 555 single stable state trigger design. Keywords VHDL language; monostable trigger; Multisim12.0

目录 摘要........................................................................................................................................ I Abstract .................................................................................................................................... II 第一章单稳态触发器的原理. (1) 1.1单稳态触发器概述 (1) 1.2单稳态触发器电路的工作原理 (1) 第二章单稳态触发器设计过程 (3) 2.1 VHDL程序 (3) 2.2 设计仿真图 (4) 结论 (5) 参考文献 (6) 致谢 (7)

EDA技术论文

第1章 EDA技术 随着大规模集成电路技术和计算机技术的不断发展,在涉及通信、国防、航天、医学、工业自动化、计算机应用、仪器仪表等领域的电子系统设计工作中,EDA技术的含量正以惊人的速度上升,电子类高新技术项目的开发也更加依赖于EDA技术的应用。即使是普通的电子产品的开发,EDA技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性能价格比大幅提高。不言而喻,EDA技术将迅速成为电子设计领域中的极其重要的组成部分。 1.1 EDA技术的含义及特点 EDA(Electronic Design Automation,电子系统设计自动化)技术是20世纪90年代初从CAD(计算机辅助设计),CAM(计算机辅助制造),CAT (计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。现代EDA 技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,能自动地完成用软件方式描述的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPGA/CPLD器件,就可以得到最后的设计结果。尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。[3] 可见,利用EDA技术进行电子系统的设计具有以下几个特点:一是用软件的方式设计硬件;二是用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;三是采用自顶向下(top--down)的设计方法;四是设计过程中可用有关软件进行各种仿真;五是系统可现场编程,在线升级;六是整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA代表了当今电子设计技术的最新发展方向。 1.2EDA技术的主要内容 EDA技术涉及面很广,内容丰富,从教学和实用的角度看,主要应掌握如下个4个方面的内容:一是大规模可编程逻辑器件;二是硬件描述语言;三是

关于EDA论文

毕业实习论文 设计题目:关于EDA的毕业实习论文 姓名: 班级:电信0822 学号: 0814781064 设计时间:2011年12月17号

一,课题背景 进入21世纪,随着微电子技术和计算机技术的迅速发展,人类进入了一个全新的信息时代。以数字化为特征的电子信息产品,诸如PC,PDA,DC,DV,DTV,Web浏览器、手机等等,成为现代社会不可或缺的一部分。电子信息产品功能不断扩展、性能不断提高,更新换代的步伐越来越快,而同时其价格却一直呈下降趋势。实现这种进步的主要因素是集成电路制造技术和电子设计技术的发展。前者以微细加工技术为代表,目前已进展到深亚微米和超深亚微米阶段,主流生产工艺技术为0.13~0.25μm,可以在几平方厘米的芯片上集成数千万个晶体管。后者的核心就是EDA(电子设计自动化)技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术,并汇集了计算机图形学、拓扑学和计算数学等众多学科而发展起来的一项电子设计的自动化技术,EDA技术已经成为现代电子系统设计的重要手段。 二,EDA的概念 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作.EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。EDA 设计可分为系统级、电路级和物理实现级。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。现在对EDA的概念或范畴用得很广。包括在机械、电

EDA论文

重庆师范大学期末考核提交材料 课程名称: EDA原理及应用 院系:物理与电子工程学院 时间: 2011—2012学年度第二学期专业:电子信息科学与技术(职教)年级: 2009级 培养层次:本科 学生姓名:邓桂英 学号: 20090520139 成绩:

基于FPGA温度传感器DS18B20的Verilog设计 基于FPGA温度传感器DS18B20的Verilog设计 物理与电子工程学院电子信息科学与技术(职教)专业 2009级邓桂英 指导老师何传红 摘要:本文介绍了数字温度传感器DS18B20的数据接口和特点, 阐述了一种基于现场可编程门阵列( FPGA)控制DS18B20的方法。使用FPGA作为控制器,严格控制DS18B20的时序,在单总线上实现读写功能,完成测量数字温度的功能。将测量的二进制数转换为BCD码, 并通过数码管显示。系统设计使用Verilog语言。 关键词:现场可编程门阵列;数字温度传感器;硬件描述语言

目录 0 引言 (4) 1 DS18B20的读写方式 (4) 1.1 初始化时序: (4) 1.2 写时序: (5) 1.3 读时序: (5) 2 温度控制模块 (6) 3 控制DS18B20进行温度测量 (6) 4 电源连接 (7) 5 温度显示部分 (8) 6 软件设计 (8) 6.1 Proteus软件简介 (9) 6.2 程序流程图设计 (9) 6.3 实验内容与步骤 (10) 7 结论 (12) 参考文献 (13)

0 引言 温度检测是数据采集的基本任务。传统的采集方式多为使用温度传感器采集模拟量, 然后通过模/数(A/D)转化得到数字量。模拟数字采集的方法稳定性较差, 抗干扰能力较弱, 电路连接数量多。随着电子技术的发展, 在很多应用中, 数字温度传感器已经取代模拟温度传感器。数字温度传感器以其线路简单、编程设置灵活、工作范围宽、成本低廉、转换精度高、测量速度快、适用于微型封装,结构简单,无需温度校准和调试,可直接连接到微处理器, 在实际应用中广受欢迎。 DS18B20是单总线式的数字温度传感器。具有线路简单,体积小的特点。DS18B20支持/一线总线0接口,信息经过一条线进出, 完成读写功能,另两条线为电源和地线。测量得到的数据是数字量,不需要经过模数转换,从而大大简化了电路。 FPGA 是现场可编程门阵列( Field Programmable Gate Array),20世纪80年代开始使用的大规模可编程数字集成电路。FPGA充分利用了计算机辅助设计技术进行器件的开发与应用,不仅能自行设计专用集成电路芯片,还可以在计算机上进行功能仿真和实时仿真,及时发现问题,调整电路,改进设计方案。而且,FPGA 器件采用标准化结构,体积小,集成度高,功耗低,速度快,可无限次反复编程, 因此成为科研产品开发及其小型化的首选器件,且应用极为广泛。使用FPGA来控制数字温度传感器DS18B20是一个非常好的选择。 1 DS18B20的读写方式 由于DS18B20是采用一根I/O总线读写数据, 因此DS18B20对读写数据位有严格的时序要求。DS18B20遵循相应的通信协议从而保证数据传输的正确性和完整性。该通信协议定义了多种信号时序: 初始化时序、读时序、写时序。每一次命令和数据传输都是从主机启动写时序开始,如果要求从机回送数据,在写命令后,主机需启动读时序接收数据。所有的读、写时序至少需要60us, 且每两个独立的时序之间至少需要1us的恢复时间。数据和命令的传输都是低位优先。DS18B20有严格的时序控制: 1.1 初始化时序: 初始化时序中,控制器发送一个480us-960us的低电平的复位信号,然后释放总

EDA结业论文

武汉职业技术学院课程结业论文 论文题目:DDS信号源的设计 姓名:张高 所在院系:电子信息工程学院 班级:通信12303班 学号:12013582 指导教师:虞沧 武汉职业技术学院

二〇一三年十二月 目录 封面 (1) 目录 (2) 摘要 (3) 第一章:操作步骤 (4) 第二章:设计框图 (5) 第三章:各功能的模块程序编译 (9) 第四章:列出仿真波形 (15) 小结 (16) 致谢 (17) 参考文献 (17)

摘要 DDS是一种以全数字从相位概念出发直接合成所需波形的一种频率合成技术。目前使用最广泛的方式是利用高速存储器作查找表,然后通过高速DAC输出已经用数字形式存入的正弦波。包含ds_fen,dds_rom,dds_sins三个模块。广泛应用于通信,雷达,测控,电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备,和示波器、电压表、频率计等仪器一样是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量都要用到信号发生器。综上所述,不论是在生产还是在科研与教学上,信号发生器都是电子工程师信号仿真试验的最佳工具。随着现代电子技术的飞速发展,现代电子测量工作对信号发生器的性能提出了更高的要求,不仅要求能产生正弦信号源、脉冲信号源,还能根据需要产生函数信号源和高频信号源。

第一章操作步骤 1.编写DDS--fen、DDS--sin、DDS--rom三个模块的VHDL源代码。见附录。 2.代码编译无误后打包保存好。 3.做DDS信号源的顶层文件。 dds_fen模块 根据需要生成的信号频率值,产生对应的时钟信号,是DDS设计的核心部分。 clk为系统时钟; clr为清零信号; datain为所需频率值。 该模块根据datain提供的频率值,产生对应的后续模块的时钟信号。在后续正弦波产生模块中需要提供的时钟信号为所需频率值的64倍,通过相位累加即可得所需频率。

eda论文报告

盐城师范学院 物理科学与电子技术学院 09(5)班课程名称 EDA技术基础教程姓名:夏天学号 09223459 考核方式:考查得分 EDA技术的应用(题目自拟,黑体小三居中) 一、简述设计电路 说明电路的作用和本论文所做的工作。 二、原理图的设计 1.说明电路图中所用的元器件; 2.说明原理图的规划及其截图; 图1 原理图 三、PCB的设计 说明PCB设计的注意事项及其结果图。 Pcb设计的注意事项:

原理图设计是前期准备工作,经常见到初学者为了省事直接就去画PCB板了,这样将得不偿失,对简单的板子,如果熟练流程,不妨可以跳过。但是对于初学者一定要按流程来,这样一方面可以养成良好的习惯,另一方面对复杂的电路也只有这样才能避免出错。 在画原理图时,层次设计时要注意各个文件最后要连接为一个整体,这同样对以后的工作有重要意义。由于,软件的差别有些软件会出现看似相连实际未连(电气性能上)的情况。如果不用相关检测工具检测,万一出了问题,等板子做好了才发现就晚了。因此一再强调按顺序来做的重要性,希望引起大家的注意。 原理图是根据设计的项目来的,只要电性连接正确没什么好说的。下面我们重点讨论一下具体的制板程序中的问题。 l、制作物理边框 封闭的物理边框对以后的元件布局、走线来说是个基本平台,也对自动布局起着约束作用,否则,从原理图过来的元件会不知所措的。但这里一定要注意精确,否则以后出现安装问题麻烦可就大了。还有就是拐角地方最好用圆弧,一方面可以避免尖角划伤工人,同时又可以减轻应力作用。以前我的一个产品老是在运输过程中有个别机器出现面壳PCB板断裂的情况,改用圆弧后就好了。 2、元件和网络的引入 把元件和网络引人画好的边框中应该很简单,但是这里往往会出问题,一定要细心地按提示的错误逐个解决,不然后面要费更大的力气。这里的问题一般来说有以下一些: 元件的封装形式找不到,元件网络问题,有未使用的元件或管脚,对照提示这些问题可以很快搞定的。 3、元件的布局 元件的布局与走线对产品的寿命、稳定性、电磁兼容都有很大的影响,是应该特别注意的地方。一般来说应该有以下一些原则: 3.l放置顺序 先放置与结构有关的固定位置的元器件,如电源插座、指示灯、开关、连接件之类,这些器件放置好后用软件的LOCK功能将其锁定,使之以后不会被误移动。再放置线路上的特殊元件和大的元器件,如发热元件、变压器、IC等。最后放置小器件。 3.2注意散热 元件布局还要特别注意散热问题。对于大功率电路,应该将那些发热元件如功率管、变压器等尽量靠边分散布局放置,便于热量散发,不要集中在一个地方,也不要高电容太近以免使电解液过早老化。 4、布线 布线原则 走线的学问是非常高深的,每人都会有自己的体会,但还是有些通行的原则的。 ◆高频数字电路走线细一些、短一些好 ◆大电流信号、高电压信号与小信号之间应该注意隔离(隔离距离与要承受的耐压有关,通常情况下在2KV时板上要距离2mm,在此之上以比例算还要加大,例如若要承受3KV的耐压测试,则高低压线路之间的距离应在3.5mm以上,许多情况下为避免爬电,还在印制线路板上的高低压之间开槽。) ◆两面板布线时,两面的导线宜相互垂直、斜交、或弯曲走线,避免相互平行,以减小寄生耦合;作为电路的输人及输出用的印制导线应尽量避兔相邻平行,以免发生回授,在这些导线之间最好加接地线。 ◆走线拐角尽可能大于90度,杜绝90度以下的拐角,也尽量少用90度拐角 ◆同是地址线或者数据线,走线长度差异不要太大,否则短线部分要人为走弯线作补偿

EDA小论文

论EDA技术及EDA的发展动向 学院 学号 姓名 指导教师 使用教材EDA技术与可编程器件的应用编写时间

论EDA技术及EDA的发展动向 摘要:随着计算机技术和微电子技术的不断发展,在涉及国防、通信、工业自动化、航天、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一。本文首先介绍了EDA 技术的基本概念, 然后从软件设计和硬件实现方面阐述了现代EDA技术的基本特征, 最后分析了EDA 技术的发展动向。 关键字:EDA,ASIC,FPGA,硬件描述语言 0、引言 随着计算机技术和微电子技术的不断发展,由于在电子系统设计领域中的明显优势,基于大规模可编程器件解决方案的EDA技术及其应用在近年中有了巨大的发展,将电子设计技术再次推向又一崭新的历史阶段。这些新的发展大致包括这样6个方面:①新器件;②新工具软件;③嵌入式系统设计;④DSP系统设计;⑤计算机处理器设计;⑥与ASIC市场的竞争技术。 1、EDA介绍 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 EDA技术是利用计算机工作平台,从事电子系统和电路设计的一项新技术。它是由电子CAD发展起来的,是计算机信息技术,微电子技术,计算机图形学,电路理论,信号分析与信号处理等理论和技术的结晶。EDA与传统设计相比,其优点可归纳为:(1)设计效率高,设计周期短。(2)提高了设计质量。(3)降低设计成本。(4)充分发挥设计人员的创造性。目前市场上有很多的EDA软件,包括Quartus II、EwB等。[1] 在实际电路设计中用得最多的是Electronics Workbench(EWB)系列EDA软件,包括电路仿真软件和印制板设计软件。尤其是多种可放置到设计电路中的虚拟仪表,应用该软件用户就如同拥有了一个元器件种类齐全、仪器先进的电子实验室,可以进行仿真实验与产品设计。从而使电路仿真分析操作更符合电子工程技术人员的试验工作习惯。 2、EDA技术的基本特征及概念 EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(VHDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。 2-1、“自顶向下”的设计方法几年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。 2-2、ASIC设计现代电子产品的复杂度日益提高,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题。解决这一问题的有效方法就

EDA论文

基于VHDL交通灯控制器的设计 白海艳 (陕西理工学院物理学院电子信息科学与技术101班陕西汉中 723000) 指导老师:卢超 摘要设计了一种基于VHDL的交通灯控制器,系统主要由计数器,置数器,分频器,数据选择器MUX,主控制器以及数码管构成,整个设计主要分为两个模块,控制木块和输出模块,通过对时钟的计数控制运行状态的改变,输出相应的状态变化信息、递减信号和置数信号给输出模块进行显示;出现紧急情况时停止计数和状态的变化,解除紧急状态后继续原来的运行状态。 关键字计数器;VHDL;MUX VHDL traffic light controller-based design BaiHaiyan (Grade10,Class1,Major Electronic Information Science and Technology Department of Physics,Shannxi University of Technology,Hanzhong,723000) Tutor: Lu Chao Abstract A VHDL-based traffic light controller, the system mainly by the counter, set, divider, the data selector MUX, the main controller and digital form, the whole design is divided into two modules, control wood block and output modules, controlled by the clock counting operation changes, the corresponding output state change information, the decrement signal and set the number of signals to the output module to display count and status changes; case of an emergency stop, the lifting of the state of emergency continue the running state. The keyword counter; VHDL; MUX

EDA毕业设计论文

郑州轻院轻工职业学院 专科毕业设计(论文) 题目基于FPGA的数字秒表设计 学生姓名陈永超 专业班级光电子技术 学号0906010232 系别机电工程系 指导教师张明洋 完成时间 2011 年 1 月 2 日

EDA技术在电子线路设计中的应用 摘■■要 电子设计的必由之路是数字化,这已成为共识。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的。电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域的电子系统设计工作中,EDA技术的含量正以惊人的速度上升,它已成为当今电子技术发展的前沿之一。20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。本文首先阐EDA技术的基本概念和发展过程,并通过实例介绍EDA技术在电子设计中的应用。 关键词■■EDA技术概述/电子线路设计/EDA技术的发展

The rapid development of the EDA technology ABSTRACT Electronic Design is the comonly way to digital, which has become the consensus. Electronic products are being carried out at an unprecedented rate of innovation, mainly large-scale programmable logic devices in a wide range of applications. Especially in the current semiconductor technology has reached the level of deep sub-micron chip integration of high-reach stem megabits, the clock frequency to the stem MHz is also more than the development of the median data of several billion times per second, the future integrated circuit technology will be the development trend of system-on-chip SOC. In order to achieve on-chip system-on-chip programmable complex programmable logic device (CPLD) and field programmable gate array (FPGA) will become the future design of electronic systems, a direction of development. Therefore, the development of electronic design technologies to today, will face even greater significance in another breakthrough, FPGA on the basis of a wide range of EDA applications. EDA technology concepts: EDA is the electronic design automation, as it is just a new technology developed, involving a wide range of content-rich, understanding of different, so there is no one precise definiti KEY WORDS■■EDA technology,Electronic Design, EDA technology concept

eda论文.

南京理工大学EDA设计(Ⅰ) 实验报告 作者: 学号: 学院(系): 专业: 指导老师: 实验日期: 实验一单级放大电路的设计与仿真

一、实验目的 1.掌握放大电路静态工作点的调整和测试方法。 2.掌握放大电路的动态参数的测试方法。 3.观察静态工作点的选择对输出波形及电压放大倍数的影响。 二、实验内容 1.设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(幅度1mV) ,负载电阻5.1kΩ,电压增益大于50。 2. 调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 3.调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。在此状态下测试:电路静态工作点值;电路的输入电阻、输出电阻和电压增益;电路的频率响应曲线和fL、fH值。 三、实验要求 1.设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(幅度1mV) ,负载电阻5.1kΩ,电压增益大于50。 2.调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 3.调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。在此状态下测试:电路静态工作点值;电路的输入电阻、输出电阻和电压增益; 电路的频率响应曲线和fL、fH值。 四、实验步骤

一.单级放大电路原理图 二.放大电路静态工作点分析 1、饱和失真(饱和失真。滑动变阻器调到0%,信号源电压10mV)

2)静态工作点参数 Ib=76.18012u Ic=819.25941m Uce=63.17805m 2、截止失真(截止失真。滑动变阻器调到100%,信号源电压50mV)

eda论文

含异步清零0同步使能4位加计数器 宁夏大学物电学院750021 摘要:随着基于PLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息,通信,自动控制及计算机应用领域的 重要性日益突出。本文详细介绍EDA课程设计任务——计数 器的设计的详细设计过程及结果,并总结出心得体会。 关键字:EDA技术VHDL语言计数器 With asynchronous reset the 0 synchronous enable 4 plus counter Wang Yangjun 12011244092 Ningxia University Electric Institute 750021 Summary:With the expansion and further development and application of PLD based on EDA technology,EDA technology in electronic information, communication, automatic control and computer application importance is outstanding day by day.This paper introduces the EDA curriculum design task ---The detailed design process and results of counter design and summed up the experience. Keywords: EDA Technology VHDL counter 一、引言 VHDL在数字电子电路的设计中具有硬件描述能力强、设计法灵活、易于修改等特点,从而简化硬件的开发和制造过程,使硬件体积大大缩小,并提高了系统的可靠性。同时在基本电路模块基础上,不必修改硬件电路,通过修改VHDL源程序,增加一些新功能,满足不同用户的需要,实现数字系统硬件的软件化。随着集成电路技术

eda论文

摘要:随着基于PLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。从而使它成为当今电子技术发展的前沿之一;本文详细介绍EDA课程设计及相关内容,阐述了EDA的基本概念及发展过程。 关键词: EDA 发展与应用自动售货机 1. EDA概况及特点 EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。EDA技术作为现代电子设计技术的核心,它依赖强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL 为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑简化、逻辑分割、逻辑综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。 1.“自顶向下”的设计方法10年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。 高层次设计是一种“自顶向下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次成功率。 2.ASIC设计现代电子产品的复杂度日益提高,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题。解决这一问题的有效方法就是采用ASIC芯片进行设计。ASIC按照设计方法的不同可分为全定制ASIC、半定制ASIC和可编程ASIC(也称为可编程逻辑器件)。 设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后

EDA论文

组别 1 成绩: 《EDA技术与应用》课程论文 题目:基于FPGA的三层电梯设计 完成者 : 班级组长 系别专业电子信息工程 完成时间2012年5月21日

目录 1 绪论 1.1电梯的起源与发展及现状 1.2 基于FPGA实现电梯运行的方案设计基本原则1.3 Verilog HDL 语言介绍 1.4 仿真软件介绍 1.5 论文主要完成的工作 2 系统的硬件设计 2.1电梯的控制要求 2.2 设计原理 2.3方案设计和功能分割 3 系统的软件设计 3.1功能模块的设计和实现 3.1.1顶层模块 3.1.2 控制模块 3.1.3 楼层显示模块 3.2.1仿真波形图 3.2.2 状态图 4 总结 参考文献 组内分工情况说明 附录

1 绪论 1.1电梯的起源与发展及现状 随着电子技术与自动控制技术的发展,越来越多的FPGA器件正广泛用于各个数字信号处理过程。其特点是硬件体积小、实时性好、工作效率高,提高系统的集成度。本设计针对我国电梯业的现状,将FPGA应用于三层电梯进行逻辑控制,通过合理的选择和设计,不但提高了电梯可靠性、可维护性以及灵活性,同时延长了使用寿命,缩短了电梯的开发周期,并提高了电梯的控制水平,改善了电梯运行的舒适感,使电梯达到了较为理想的控制效果。 电梯作为高层大厦的主要垂直交通工具,电梯系统服务质量和效率的提高对建筑的有效利用和性能发挥将产生极为重要的影响。信息化时代的到来,推动了电梯的发展,电梯控制器已成为当今世界性开发的热点,也是各国综合实力的表现。 电梯系统作为建筑楼宇自动化的重要组成部分,也要求向满足大厦中大量人流、物流的垂直输送需要,电梯制造商利用先进的技术,开发出各种高性能的电梯系统以满足乘客生理和心理要求,实现高效的垂直输送。提高我国电梯控制领域水平,必将带来很大的经济效益和社会效益。 目前,我国国产电梯大部分为继电器及PLC控制方式,继电器控制系统性能不稳定、故障率高,大大降低了电梯的舒适性、可靠性和安全性,经常造成停梯,给乘用人员的生活和工作带来了很多不便,因而传统的电梯控制系统的更新势在必行;PLC(可编程控制器)在电梯控制中得到了广泛的应用。本次设计尝试用Verilog HDL实现电梯控制,可进行多层次的逻辑设计,也可进行仿真验证、时序分析等以保证设计的正确。 1.2 基于FPGA实现电梯运行的方案设计基本原则 FPGA是Field-Programmable Gate Array(现场可编程门阵列)的缩写,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是专用集成电路中一种半定制电路。具克服了传统可编程器件数有限的缺点,又具有可编程的特点。 FPGA器件是由美国XILINX公司于1985年首先推出。一般把FPGA定义为基于查找表技术(LOOK-UP Tablc,LUT)和SRAM工艺的PLD,目前的FPGA 已远超出先前产品的基本功能,并且整合了许多常用功能( 如RAM、时钟管理和DSP),在新型的的系统级可编程逻辑器件中还可以以IP核的形式来进行功能设计,或许只需要一块FPGA芯片就可以实现所有功能,成为片上系统。近几年来FPGA有了很快的发展,按照传统观点,FPGA仅用于产品原型设计和ASIC 的验证,用于大规模的数字系统开发就过于昂贵且功耗巨大。随着FPGA的研究

EDA课程设计论文

玉林师范学院EDA课程设计论文 题目:基于EDA技术倒计时器的设计 院(系):电子与通信学院 专业:电信081 学生姓名:黄惠 学号:200805402108 指导老师:甘国妹、陈宇宁 2011年06 月11日

基于EDA技术倒计时器的设计 摘要 本文以Altera公司的DE2开发板为中心,Quartus Ⅱ软件作为开发平台,使用Verilog HDL语言编程,设计了一个倒计时器模型。在程序描述的过程中,用了行为描述方式和结构描述方式二种描述方式对计费器进行描述。该计费器能动态扫描电路,将车费和路显示出来,各有两位小数。整个自动控制系统由三个主要电路构成:里程和车费计算、译码和动态显示。最后给出了仿真的波形,并硬件实现。 关键字:倒计时计数Verilog HDL 引言 Verilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种用文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL和VHDL是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。现在,随着系统级FPGA以及片上系统的出现,软硬件协同设计和系统设计变得越来越重要。传统意义上的硬件设计越来越倾向于与系统设计和软件设计相结合。 随着电子行业的发展,对出计数器的要求也越来越高,要求倒计

时器性能稳定计数准确,特别是在进行比赛,竞赛中要求在倒计时,显示出正确的时分秒的准确倒计,自动倒记时器自动记录。 设计原理 本文设计了一个倒计时器的模型,其接口信号如图(一) 所示。 图(一)倒计时器模型方框图 倒计时器的状态由分频产生50Hz的clock信号,产生的clock信号来控制秒控制模块,然后分别将时分秒送到动态扫描模块,最后由片选信号控制哪部分译码模块输出的数据用数码管显示。 该数字钟可以实现2个功能:倒计时功能、重置时间功能。其中倒计时模块有3部分构成:秒倒计时器(cent_s)、分倒计时器(cent_m)

EDA论文

EDA(II) 设计实验报告实验名称:多功能数字钟 指导老师: 姓名: 学号: 院系: 实验时间:2011.11.7~2011.11.11

目录 第一部分:摘要 摘要和关键词 (2) 第二部分:正文 设计要求说明 (3) 一、实验内容 (3) 二、实验目的 (3) 三、实验要求 (3) 方案论证 (3) 各子模块设计原理 (4) 调试 (5) 一、脉冲发生电路 (5) 二、计时电路 (7) 三、译码显示电路 (11) 四、附加电路(万年历) (12) 实验心得体会 (22) 附录 (22) 参考书目 (22)

文章里,我们将用QuartusII软件进行模拟仿真并设计出具有计数和报时功能的数字时钟,在此基础上,我们另外加上了保持、清零、快速校时校分功能,以便满足实际调试,完成了这些基础工作以后,需要对电路的功能进行拓展,我的思路是设计出一个万年历电路,并通过开关在时钟功能和万年历功能之间切换。 关键字:数字时钟,保持,清零,校时校分,万年历 Abstract In the page , we use the software QuartusII to simulate to and design a clock which has the function of counting and telling the time , on the basis of this ,we adds other functions ,such as keeping the time ,clearing time to zero , fasting to the hours and fasting to the minutes , so it is easy to simulate the system ,done these , there needs to develop the system more , in my opinion , perpetual calendar is a good choice , and a key is used to change the system between the clock and perpetual calendar . Keywords: digital clock , keeping the time ,clearing time to zero, fasting to the hours and minutes , perpetual calendar

EDA技术应用论文

EDA技术在测控领域的发展前景 随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一;随着微电子技术和半导体工业的不断创新和发展,集成电路的集成度和生产工艺技术水平得到不断提高,从而使得在一个半导体芯片上完成系统级的集成已成为可能。现代数字技术已进入电子设计自动化EDA ( Electronic Design Automation ) 时代, 这大大改变了现代电子系统设计的理念, 极大地提高了大规模系统电子设计的自动化程度。EDA 设计方法学在各种电子系统设计中有着极大的影响和广阔的应用前景,当然在测控领域也不例外。和FPGA ( Field Programmable Gate A rray, 现场可编程门阵列) 两种大容量的可编程逻辑器件, 采用深亚微米工艺,能应用在不同的高科技研发领域,如数字电路设计、微处理器系统、DSP 电信、可重构计算机及ASIC 设计等。由于以EEPROM、SRAM 或FLASH 为基础,用户可以通过计算机对芯片进行在系统编程( ISP )或在线重配置( ICR ) ,不需要做掩模版图设计, 因而大大降低了成本, 缩短了开发时间。现代EDA 设计方法是伴随着大规模可编程逻辑器件CPLD / FPGA 的出现而诞生的, 它改变了以卡诺图化简、小规模的TTL 芯片的堆砌技术等为代表的传统数字电路设计模式, 而是利用软件来设计硬件,软件的改变相应地改变了硬件电路结构,甚至在系统运行时改变系统的硬件结构, 而不必重新布置器件管脚和设计系统电路, 这用传统设计方法是无法实现的,它的出现打破了硬件电路和软件设计的传统界限。从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用文泛、工具多样、软件功能强大。 EDA 是以计算机为工具, 在EDA 软件平台上,对以硬件描述语言HDL 为系统逻辑描述手段完成的设计文件自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑技术在电机控制领域的应用与方法现代EDA 设计方法可编程逻辑器件是从20 世纪80 年代开始迅速发展起来的,现在已经成为ASIC (App lication Specif2 ic Integrated C ircuit) 的一个重要分支, 在计算机应用、通信、智能仪表、医用设备、军事、民用电器等各个领域都得到了广泛的应用, 并受到越来越多的关注。它允许用户按照自己的设计思想对其进行重新编程或重构,因此属于可编程ASIC 一类, 并可进一步转化为掩模(m ask ) ASIC。现代可编程逻辑器件主要指CPLD ( Comp lex Programm able Logic Device, 复杂可编程逻辑器件). 下面以电机控制器的设计为例简单介绍一下EDA在控制领域的应用 传统的电路设计方法都是自底向上的, 即首先确定可用的元器件, 然后根据这些器件进行逻辑设计, 完成各模块后进行连接, 最后形成系统。基于EDA 技术的所谓自顶向下的设计方法正好相反, 其步骤就是采用可完全独立于目标器件芯片物理结构的硬件描述语言,在系统的基本功能或行为级上对设计的产品进行描述和定义, 结合多层次的仿真技术,在确保设计的可行性与正确性的前提下,完成功能确认; 然后利用EDA 工具的逻辑综合功能, 把功能描述转换成某一具体芯片的网表文件, 输出给该器件厂商的布局布线适配器, 进行逻辑映射及布局布线,再利用产生的仿真文件进行包括功能和时序

相关主题
相关文档
最新文档