数码管电路设计制作要求

数码管电路设计制作要求
数码管电路设计制作要求

数码管电路制作

一、原理图设计:

1、在桌面建立一个以自己名字命名的文件夹:XXX

2、在自己文件夹中建立一个文件夹:数码管电路设计与制作

3、把“数码管电路设计制作要求.doc”,“复位电路.SEC”,“时钟电路.SEC”文件复制到自己的“数码管电路设计与制作”文件夹中。

3、按照最后一页所示电路图创建电路,(数码管采用共阳极数码管7SEG-MPX2-CA-BLUE,排阻RESPACK-8)保存原理图

文件,命名为”数码管电路”

(1)在元件库选择单片机89C51

(2)导入复位电路,时钟电路

(3)电路连线通过网络标号的方法。

(3)保存原理图设计

二、调试单片机:

(一)把原理图另存为“数码管电路1”

输入如下程序,并仿真调试

点亮一只数码管

ORG 0000H ;复位入口地址

LJMP MAIN ;转移到主程序MAIN MAIN: CLR P2.0 ;把驱动三极管打开

MOV P0,#11000000B

LCALL DELAY ;调延时子程序

LJMP MAIN ;循环执行主程序DELAY: MOV R0,#07H ;延时子程序

LOOP2: MOV R1,#1FH

LOOP1: DJNZ R1,LOOP1

DJNZ R0,LOOP2

RET

END

调试通过后,修改程序,使2只数码管都显示数字3。(3)保存设计

(二)把原理图另存为“数码管电路2”

修改程序,使1只数码管循环显示0到9。

(6)、仿真调试,并保存设计

5、把桌面上自己名字命名的文件夹上传到主机

八位七段数码管动态显示电路设计

八位七段数码管动态显示电路的设计 一七段显示器介绍 七段显示器,在许多产品或场合上经常可见。其内部结构是由八个发光二极管所组成,为七个笔画与一个小数点,依顺时针方向为A、B、C、D、E、F、G与DP等八组发光二极管之排列,可用以显示0~9数字及英文数A、b、C、d、E、F。目前常用的七段显示器通常附有小数点,如此使其得以显示阿拉伯数之小数点部份。七段显示器的脚位和线路图如下图4.1所示( 其第一支接脚位于俯视图之左上角)。 图4.1、七段显示器俯视图 由于发光二极管只有在顺向偏压的时候才会发光。因此,七段显示器依其结构不同的应用需求,区分为低电位动作与高电位动作的两种型态的组件,另一种常见的说法则是共阳极( 低电位动作)与共阴极( 高电位动作)七段显示器,如下图4.2所示。 ( 共阳极) ( 共阴极) 图4.2、共阳极(低电位动作)与共阴极(高电位动作)

要如何使七段显示器发光呢?对于共阴极规格的七段显示器来说,必须使用“ Sink Current ”方式,亦即是共同接脚COM为VCC,并由Cyclone II FPGA使接脚成为高电位,进而使外部电源将流经七段显示器,再流入Cyclone II FPGA的一种方式本实验平台之七段显示器模块接线图如下图4.5所示。此平台配置了八组共阳极之七段显示器,亦即是每一组七段显示器之COM接脚,均接连至VCC电源。而每一段发光二极管,其脚位亦均与Cyclone II FPGA接连。四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。 图4.5、七段显示器模块接线图 七段显示器之常见应用如下 ?可作为与数值显示相关之设计。 ?电子时钟应用显示 ?倒数定时器 ?秒表 ?计数器、定时器 ?算数运算之数值显示器

电路原理(邱关源)习题答案相量法

第八章 相量法 求解电路的正弦稳态响应,在数学上是求非齐次微分方程的特解。引用相量法使求解微分方程特解的运算变为复数的代数运运算,从儿大大简化了正弦稳态响应的数学运算。 所谓相量法,就是电压、电流用相量表示,RLC 元件用阻抗或导纳表示,画出电路的相量模型,利用KCL,KVL 和欧姆定律的相量形式列写出未知电压、电流相量的代数方程加以求解,因此,应用相量法应熟练掌握:(1)正弦信号的相量表示;(2)KCL,KVL 的相量表示;(3)RLC 元件伏安关系式的相量形式;(4)复数的运算。这就是用相量分析电路的理论根据。 8-1 将下列复数化为极坐标形式: (1)551j F --=;(2)342j F +-=;(3)40203j F +=; (4)104j F =;(5)35-=F ;(6)20.978.26j F +=。 解:(1)a j F =--=551θ∠ 25)5()5(22=-+-=a ο 13555arctan -=--=θ(因1F 在第三象限) 故1F 的极坐标形式为ο135251-∠=F (2)ο13.1435)43arctan(3)4(34222∠=-∠+-=+-=j F (2F 在第二 象限) (3)ο43.6372.44)2040arctan(40204020223∠=∠+=+=j F (4)ο9010104∠==j F (5)ο180335∠=-=F (6)ο19.7361.9)78.220.9arctan(20.978.220.978.2226∠=∠+=+=j F 注:一个复数可以用代数型表示,也可以用极坐标型或指数型表示,即θθj ae a ja a F =∠=+=21,它们相互转换的关系为:

多位数码管动态扫描protues仿真

实验题目:多位数码管动态扫描电路设计与调试 一、实验要求与目的 1、设计要求 8位数码管显示“8.8.8.8.8.8.8.8.”,即点亮显示器所有段,持续约500ms 之后,数码管持续约1s ;最后显示“HELLO —10”,保持。 2、实验目的 1、掌握数码管动态扫描显示原理及实现方法。 2、掌握动态扫描显示电路驱动程序的编写方法。 二、设计思路 1、在Proteus 中设计仿真电路原理图。 2、在Keil C51软件中编译并调试程序,程序后缀必须是.c 。调试时生成hex 文件,确认 无误后将生成的hex 文件添加到原理图的单片机中进行仿真。 3、观察电路仿真结果对程序进行更改直至达到预期结果 三、实验原理 p2[0..3] p0[0..7]p 00p 00p 07p 06p 0605p 02p 05p 04p 04p 03p 03p 02p 02p 01p 01p 07p 23p 22p 21p 20A 15B 14C 13D 12 01122334455667798109 11 U2 7445 A 02 B 018A 13B 117A 24B 216A 35B 315A 46B 414A 57B 513A 68B 612A 7 9 B 7 11 C E 19A B /B A 1 U3 74HC245 234567891 RP1 RESPACK-8 XTAL2 18 XTAL119 RST 9 P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD 17 P3.6/WR 16P3.5/T115AD[0..7]A[8..15] ALE 30EA 31PSEN 29 P1.0/T21 P1.1/T2EX 2P1.23P1.34P1.45P1.56P1.67P1.78 U4 AT89C52 图1 原理图

数码管显示电路设计

东北石油大学 实习总结报告 实习类型生产实习 实习单位电子科学学院实习基地 实习起止时间 2011年7月4日至2010年7月23日 指导教师张勇 所在院(系)电子科学学院 班级电信08-4班 学生姓名白雪 学号 080901140402 2011年 7月23日

目录 第1章单片机系统硬件电路 (1) 1.1 实习目的 (1) 1.2 单片机型号及特性 (1) 1.3单片机开发板 (2) 第2章单片机应用系统软件 (5) 2.1 STC下载软件 (5) 2.2 Keil软件 (5) 2.3 外部电路驱动 (6) 第3章数码管显示电路设计 (7) 3.1 设计原理 (7) 3.2 实现方法 (8) 第4章实习总结 (9) 4.1 实习体会 (9) 4.2 设计硬件体会 (9) 参考文献 (10) 附录1 实物图 (11) 附录2 系统主要程序 (12)

第1章单片机系统硬件电路 1.1实习目的 1、了解单片机最小系统; 2、了解keilc软件操作,程序下载及调试方法; 3、掌握单片机外部电路使用; 4、掌握键盘和数码管显示编程方法; 5、应用单片机开发板进行实验开发; 1.2单片机型号及特性 1、AT89S51单片机功能及特点 AT89S51是一个低功耗,带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS8位微处理器,俗称单片机,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案,AT89S51在众多嵌入式控制应用系统中得到广泛应用。 性能特点: (1)一般为控制应用的8位单芯片 (2)工作电压范围:Vcc可为2.7V到6V,全静态工作:可从0Hz至16MHz (3)芯片内部具有时钟振荡器(传统最高工作频率可至12MHZ) (4)内部程序存储器ROM为4KB,内部数据存储器RAM为128B (5)外部程序存储器可扩充为64KB外部数据存储器可扩充至64KB (6)32条外部双向输入输出线 (7)5个中断优先级,2层中断嵌套中断,5个中断源 (8)2组独立的16位定时器 (9)1个全双工串行通信端口 (10)8751及8752芯片具有数据保密的功能 (11)单芯片提供位逻辑运算指令 (12)低功耗的闲置和掉电模式

8位数码管动态显示电路设计

电子课程设计 — 8位数码管动态显示电路设计 学院:电子信息工程学院 专业、班级: 姓名: 学号: 指导老师: 2014年12月

目录 一、设计任务与要求 (3) 二、总体框图 (3) 三、选择器件 (3) 四、功能模块 (9) 五、总体设计电路图 (10) 六、心得体会 (12)

8位数码管动态显示电路设计 一、设计任务与要求 1. 设计个8位数码管动态显示电路,动态显示1、2、3、4、5、6、7、8。 2. 要求在某一时刻,仅有一个LED 数码管发光。 3. 该数码管发光一段时间后,下一个LED 发光,这样8只数码管循环发光。 4. 当循环扫描速度足够快时,由于视觉暂留的原因,就会感觉8只数码管是在持续发光。 5、研究循环地址码发生器的时钟频率和显示闪烁的关系。 二、总体框图 设计的总体框图如图2-1所示。 图2-1总体框图 三、选择器件 1、数码管 数码管是一种由发光二极管组成的断码型显示器件,如图1所示。 U13 DCD_HEX 图1 数码管 数码管里有八个小LED 发光二极管,通过控制不同的LED 的亮灭来显示出 不同的字形。数码管又分为共阴极和共阳极两种类型,其实共阴极就是将八个 74LS161计数器 74LS138译码 器 数码管

LED的阴极连在一起,让其接地,这样给任何一个LED的另一端高电平,它便能点亮。而共阳极就是将八个LED的阳极连在一起。 2、非门 非门又称为反相器,是实现逻辑非运算的逻辑电路。非门有输入和输出两个端,电路符号如图2所示,其输出端的圆圈代表反相的意思,当其输入端为高电平时输出端为低电平,当其输入端为低电平时输出端为高电平。也就是说,输入端和输出端的电平状态总是反相的。其真值表如表1所示。 图2 非门 表1 真值表 输入输出 A Y 0 1 1 0 3、5V电源 5V VCC电源如图3所示。 图3 5V电源

数码管显示程序(汇编语言)

实验三数码显示 一、实验目的 了解LED数码管动态显示的工作原理及编程方法。 二、实验内容 编制程序,使数码管显示“DJ--88”字样。 三、实验程序框图 四、实验步骤 联机模式: (1)在PC机和实验系统联机状态下,运行该实验程序,可用鼠标左键单击菜单栏“文件”或工具栏“打开图标”,弹出“打开文件”的对话框,然后打开598K8ASM

文件夹,点击S6.ASM文件,单击“确定”即可装入源文件,再单击工具栏中编译装载,即可完成源文件自动编译、装载目标代码功能,再单击“调试”中“连续运行”或工具图标运行,即开始运行程序。 (2)数码管显示“DJ--88”字样。 脱机模式: 1、在P.态下,按SCAL键,输入2DF0,按EXEC键。 2、数码管显示“DJ--88”字样。 五、实验程序清单 CODE SEGMENT ;S6.ASM display "DJ--88" ASSUME CS:CODE ORG 2DF0H START: JMP START0 PA EQU 0FF20H ;字位口 PB EQU 0FF21H ;字形口 PC EQU 0FF22H ;键入口 BUF DB ?,?,?,?,?,? data1: db0c0h,0f9h,0a4h,0b0h,99h,92h,82h,0f8h,80h,90h,88h,83h,0 c6h,0a1h db 86h,8eh,0ffh,0ch,89h,0deh,0c7h,8ch,0f3h,0bfh,8FH,0F0H START0: CALL BUF1 CON1: CALL DISP JMP CON1 DISP: MOV AL,0FFH ;00H MOV DX,PA OUT DX,AL MOV CL,0DFH ;显示子程序 ,5ms MOV BX,OFFSET BUF DIS1: MOV AL,[BX] MOV AH,00H PUSH BX MOV BX,OFFSET DATA1 ADD BX,AX MOV AL,[BX] POP BX MOV DX,PB

EDA课程设计八位数码管扫描显示电路的设计 2解读

EDA技术应用期末论文题目:八位数码管动态显示 姓名: 班级: 学号:

1.系统总体方案设计 (1) 2. LED的工作原理 (2) 2.1 LED工作原理 (3) 2.2 LED动态扫描显示原理 (3) 3.系统设计 (4) 3.1硬件电路设计 (9) 3.2 VHDL代码设计 (9) 4.运行调试......................................................,,7 4.1时序仿真. (7) 5 总结..............................................,,,,,,,,,,,,,,,9 6.参考文献 (10)

1.系统总体方案设计设计流程图如下:

首先,我们要对所要设计的八位数码管静态扫描显示电路充分理解,同时在了解了所给的硬件器材的基础上需进行“源程序的编辑和编译”——用一定的逻辑表达手段将设计表达出来;其次要进行“逻辑综合”——将用一定的逻辑表达手段表达出来的设计,经过一系列的操作,分解成一系列的基本逻辑电路及对应关系;然后要进行“目标器件的布线∕适配”——在选定的目标器件中建立这些基本逻辑电路及对应关系;最后,目标器件的编程下载——将前面的软件设计经过编程变成具体的设计系统,同时在设计过程中要进行有关“仿真”——模拟有关设计结果,看是否与设计构想相符。 系统结构框图如下: 2. LED的工作原理 2.1 LED工作原理 LED为分段式半导体显示器,通常称为七段发光二极管显示器。下图为七段发光二极管显示器共阴极和共阳极的电路图。对共阴极显示器的公共端应接地,给a-g输入相应高电平,对应字段的发光二极管显示十进制数;对共阳极的公共端应接+5V电源,给a-g输入端相应低电平,对应字段的发光二极管也显示十进制数。

数码管动态显示教案

电子综合设计实训 题目数码管动态显示 _ 姓名 专业 学号 指导教师 郑州科技学院电气工程学院

目录 摘要.................................................................................................. I 1背景. (1) 1.1介绍 (1) 1.2设计步骤 (2) 2 设计思路 (3) 2.1方案对比 (3) 3元件的选择 (6) 3.1单片机 (6) 3.2 显示元器件的选择 (6) 4 设计原理及功能说明 (8) 4.1 各部分功能说明 (8) 5 装配与调试 (14) 5.1装配 (14) 5.2调试 (14) 6 总结 (15) 附录 (17) 附录一:元件清单 (17) 附录二:电路源程序 (17)

数码管动态显示的设计 摘要 本文介绍了一种基于AT89C51单片机的8个数码管滚动显示单个数字的设计,让八位数码管滚动显示0、1、2、3、4、5、6、7,我们以液晶显示技术的发展为背景,选择了比较常用的液晶数码管显示模块,利用了单片机控制数码管模块的显示机理。研究学习AT89C51单片机其功能,对学习过的单片机,C语言课程进行巩固,设计一款在8只数码管上流动显示单个数字的程序,并用PROTEUS进行电路设计和实时仿真。该电路有两部分组成:AT89C51单片机和显示模块组成。AT89C51单片机具有超低功耗和CPU外围的高度整合性;显示模块数码管是由多个发光二极管封装在一起组成“8”字型的器件,引线已在内部连接完成,只需引出它们的各个笔划,公共电极,方便易用。实际应用中不需要外部任何元器件即可实现,具有接口电路简单、可靠,易于编程的特点,抗干扰性好等特点。 单片机技术使我们可以利用软硬件实现数码管准确显示各种数码。而且这种技术相对简单,性价比较高,在我们生活中应用很广泛,具有一定的发展前景。 关键词:AT89C51单片机;数码管;滚动显示

51单片机数码管时钟电路的设计_AT89C51

广东石油化工学院 《51单片机原理与实践》课程设计报告 学院计算机与电子信息学院 专业 班级 学号 姓名 指导教师 课程成绩 完成日期 2010年12月27日

数码管时钟电路的设计 一、设计目的: 通过这次课程设计掌握单片机系统的基本设计步骤及设计思路,掌握汇编语言的用法及各种指令的含义,比较熟练的运用指令进行单片机系统的设计的,熟悉用KEIL软件进行汇编语言的汇编,以及把代码写入实验板中,观测代码结合实际的运行结果后进行调整,体会到编程的分析问题、确定算法、画程序流程图、编写程序、程序功能模块化的优点的各各步骤。 二、设计要求: LED数码管时钟电路采用24h计时方式,时、分、秒用六位数码管显示。该电路采用AT89C2051单片机,使用3V电池供电,只使用一个按键开关即可进入调时、省电(不显示LED数码管)和正常显示三种状态。 三、设计实验内容: 1. 硬件的设计 其采用AT89C51单片机应用设计,LED显示采用动态扫描方式实现,P0口输出段码数据,P2口输出位码数据,P1.1、P1.2接按钮开关。为了提供LED数码管的驱动电流,采用6MHz晶振。 2. 系统总体分析 系统主要包含四大模块:显示模块、时间计时模块、模式切换模块和模式设置模块。 显示模块:主要由主循环负责。内存中开辟了一段8字节的内存空间,

用作数据显示的字符缓冲区。主循环不断将缓冲区中的字符呈现至数码管。 ● 时间计时模块:电子钟的核心模块,记录了时间的时、分、秒信息。 ● 模式切换模块(MODE ):切换电子钟的设置模式,包括时设置、分设置、秒设置、闹铃开关设置、闹铃时设置和闹铃分设置。相关数据被设置时将闪烁显示。 ● 模式设置模块(CONFIG ):通过判断设置模式(MODE ),执行相应的设置。如时、分、秒的增1以及闹铃开关的变换。 另外,主循环还负责扫描键盘,检测相应键是否被按下,若MODE 键被按下则在特定单元中登记该功能,并启动定时器1,然后返回继续执行显示功能。在定时器1中断时,被登记的功能正式执行。期间用时约10ms ,用以消除机械抖动。 主循环流程图大致如下: 图(一)主循环流程图 定时器1中断服务程序流程图如下: 开始 键被按下 登记相应功能 数码管显示 是 否

实验四八位七段数码管动态显示电路的设计

八位七段数码管动态显示电路的设计 一、实验目的 1、了解数码管的工作原理。 2、学习七段数码管显示译码器的设计。 3、学习VHDL的CASE语句及多层次设计方法。 二、实验原理 七段数码管是电子开发过程中常用的输出显示设备。在实验系统中使用的是两个四位一体、共阴极型七段数码管。其单个静态数码管如下图4-4-1所示。 图4-1 静态七段数码管 由于七段数码管公共端连接到GND(共阴极型),当数码管的中的那一个段被输入高电平,则相应的这一段被点亮。反之则不亮。共阳极性的数码管与之相么。四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。 三、实验内容 本实验要求完成的任务是在时钟信号的作用下,通过输入的键值在数码管上显示相应的键值。在实验中时,数字时钟选择1024HZ作为扫描时钟,用四个拨动开关做为输入,当四个拨动开关置为一个二进制数时,在数码管上显示其十六进制的值。 四、实验步骤 1、打开QUARTUSII软件,新建一个工程。 2、建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。 3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光 盘中提供的示例程序。 4、编写完VHDL程序后,保存起来。方法同实验一。

5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。 6、编译仿真无误后,根据用户自己的要求进行管脚分配。分配完成后,再进行全编译 一次,以使管脚分配生效。 7、根据实验内容用实验导线将上面管脚分配的FPGA管脚与对应的模块连接起来。 如果是调用的本书提供的VHDL代码,则实验连线如下: CLK:FPGA时钟信号,接数字时钟CLOCK3,并将这组时钟设为1024HZ。 KEY[3..0]:数码管显示输入信号,分别接拨动开关的S4,S3,S2,S1。 LEDAG[6..0]:数码管显示信号,接数码管的G、F、E、D、C、B、A。 SEL[2..0]:数码管的位选信号,接数码管的SEL2、SEL1、SEL0。 8、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与 自己的编程思想一致。 五、实验现象与结果 以设计的参考示例为例,当设计文件加载到目标器件后,将数字信号源模块的时钟选择为1464HZ,拨动四位拨动开关,使其为一个数值,则八个数码管均显示拨动开关所表示的十六进制的值。

4位7段数码管驱动电路设计要求

4位7段数码管驱动电路 图1 开发板电路原理图 信号说明

1. iRST_N(异步复位) 当iRST_N信号为低时,Seg7_Driver模块中的所有寄存器异步复位为初值。 2. iCLK 模块的输入时钟40MHz。 3. iSeg_Val[15:0] 7段数码管输入二进制值,0x0~0xF iSeg_Val[15:12],左侧第一位7段数码管的值。 iSeg_Val[11: 8],左侧第两位7段数码管的值。 iSeg_Val[ 7: 4],左侧第三位7段数码管的值。 iSeg_Val[ 3: 0],左侧第四位7段数码管的值。 4. iDot_Val[3:0] 各位7段数码管小数点的显示,值为1表示显示小数点,0表示不显示小数点。 iDot_Val[3],左侧第一位7段数码管的小数点。 iDot_Val[2],左侧第两位7段数码管的小数点。 iDot_Val[1],左侧第三位7段数码管的小数点。 iDot_Val[0],左侧第四位7段数码管的小数点。 5. oDisplay[7:0] 7段数码管的数据信号。4位7段数码管共用数据信号。7段数码管为共阳极连接,各段数据线为0时,对应段发光。 6. oDis_En[3:0] 各位7段数码管的使能信号,低有效。

oDis_En[3],左侧第一位7段数码管的使能信号。 oDis_En[2],左侧第两位7段数码管的使能信号。 oDis_En[1],左侧第三位7段数码管的使能信号。 oDis_En[0],左侧第四位7段数码管的使能信号。 建议的分块: 将整个驱动电路分成Seg7_Ctrl模块与Seg7_Hex2seg模块 Seg7_Ctrl模块负责产生数码管动态显示的控制信号oDis_En的时序 Seg7_Hex2Seg模块负责将二进制值转换成数据码管显示的数据值,包括小数点的值。 注意点: 1. 动态显示过程是利用人眼的视觉残留现象来实现的,应选择适当的数码管扫描频率。可先 选择数码管的扫描显示的刷新率为125Hz(8ms),即每位数码管用2ms。 2. 完成基本功能后,可实验改变刷新率,观察数码管显示的效果,并思考原因。 3. 如果要使得数码管能够显示,A,b,C,n,o等其他字符,模块应该作怎样的修改?

电路原理习题答案相量法

第八章相量法 求解电路的正弦稳态响应,在数学上是求非齐次微分方程的特解。引用相量法使求解微分方程特解的运算变为复数的代数运运算,从儿大大简化了正弦稳态响应的数学运算。 所谓相量法,就是电压、电流用相量表示,RLC元件用阻抗或导纳表示,画出电路的相量模型,利用KCL,KVL 和欧姆定律的相量形式列写出未知电压、电流相量的代数方程加以求解,因此,应用相量法应熟练掌握:(1)正弦信号的 相量表示;(2)KCL,KVL的相量表示;(3)RLC元件伏安关系式的相量形式;(4)复数的运算。这就是用相量分析电路的理论根据。 8-1 将下列复数化为极坐标形式: (1)F1 5 j5;(2)F2 4 j3;(3)F3 20 j40; (4)F4 j10;(5)F5 3;(6)F6 2.78 j9.20。 解:(1)F1 5 j5 a a ( 5)2( 5)2 5 2 5 arctan 135 5 (因F1在第三象限) (2)F2 4 j3 ( 4)2 32 arctan(3 4) 5 143.13 (F2 在第二 象限) (3 )F3 20 j 40 202 402arctan(40 20) 44.72 63.43 (4 )F4 10j 10 90 (5)F5 3 3 180 (6)F6 2.78 j 9.20 2.78 29.20 2 arctan(9.20 2.78) 9.61 73.19 注:一个复数可以用代数型表示,也可以用极坐标型或指数 型表示,即 F a1 ja2 a a e j , 它们相互转换的关系为: 故F1 的极坐标形式 为F1 5 2 135

2 arctan 2 a 1 a 1 acos a 2 a sin 及实部 a 1和虚部 a 2的正负 8-2 将下列复数化为代数形式: (1) F 1 10 73 ;(2) F 2 15 112.6 ;(3) F 3 1.2 152 ; (4) F 4 10 90 ;(5) F 1 5 180 ;(6) F 1 10 135 。 解: ( 1) F 1 10 73 10 cos( 73 ) j10 sin( 73 ) 2.92 j 9.56 (2 ) F 2 15 112.6 15 cos112.6 15sin112.6 5.76 j13.85 (3) F 3 1.2 152 1.2cos152 1.2 sin 152 1.06 j 0.56 (4) F 4 10 90 j10 (5 ) F 1 5 180 5 (6) F 1 10 135 10 cos( 135 ) 10 sin( 135 ) 7.07 j 7.07 8-3 若 100 0 A 60 175 。求 A 和 。 解: 原式 =100 A cos 60 ja sin 60 175cos j175sin 根据复数相等 的 定义,应有实部和实部相等,即 Acos 60 100 175 cos A 2 100 A 20625 0 100 1002 4 2062 5 102.07 202.069 5 求i 1的周期 T 和频率 f 。 需要指出的,在转换过程中要注意 F 在复平面上所在的象限,它关系到 的取值 虚部和虚部相等 把以上两式相加,得 A sin 60 175 sin 解得 2 a 2

基于51单片机的LED数码管动态显示

基于51单片机的LED数码管动态显示 LED数码管动态显示就是一位一位地轮流点亮各位数码管,对于每一位LED数码管来说,每隔一段时间点亮一次,利用人眼的“视觉暂留"效应,采用循环扫描的方式,分时轮流选通各数码管的公共端,使数码管轮流导通显示。当扫描速度达到一定程度时,人眼就分辨不出来了。尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,认为各数码管是同时发光的。若数码管的位数不大于8位时,只需两个8位I/O口。 1 硬件设计 利用51单片机的P0口输出段码,P2口输出位码,其电路原理图如下所示。 在桌面上双击图标,打开ISIS 7 Professional窗口(本人使用的是v7.4 SP3中文版)。单击菜单命令“文件”→“新建设计”,选择DEFAULT模板,保存文件名为“DT.DSN”。在器件选择按钮中单击

“P”按钮,或执行菜单命令“库”→“拾取元件/符号”,添加如下表所示的元件。 51单片机AT89C51 一片 晶体CRYSTAL 12MHz 一只 瓷片电容CAP 22pF 二只 电解电容CAP-ELEC 10uF 一只 电阻RES 10K 一只 电阻RES 4.7K 四只 双列电阻网络Rx8 300R(Ω) 一只 四位七段数码管7SEG-MPX4-CA 一只 三极管PNP 四只 若用Proteus软件进行仿真,则上图中的晶振和复位电路以及U1的31脚,都可以不画,它们都是默认的。 在ISIS原理图编辑窗口中放置元件,再单击工具箱中元件终端图标,在对象选择器中单击POWER 和GROUND放置电源和地。放置好元件后,布好线。左键双击各元件,设置相应元件参数,完成电路图的设计。 2 软件设计 LED数码管动态显示是一位一位地轮流点亮各位数码管的,因此要考虑每一位点亮的保持时间和间隔时间。保持时间太短,则发光太弱而人眼无法看清;时间太长,则间隔时间也将太长(假设N位,则间隔时间=保持时间X(N-1)),使人眼看到的数字闪烁。在程序中要合理的选择合适的保持时间和间隔时间。而循环次数则正比于显示的变化速度。 LED数码管动态显示的流程如下所示。

相量法分析RLC串联电路

*4.4.6相量法分析RLC 串联电路 正弦交流电用相量式表示后,正弦交流电路的分析和计算都可以用复数来进行,这时 直流电路中应用的分析方法和基本定律就可以全部应用到正弦交流电路之中,使解题更简 便、更快捷。 1.基尔霍夫定律阐明了电路中各电流、电压的约束关系,对任何电路都适用。在正弦交流电路中,所有的电流、电压都是同频率的正弦量,它们的瞬时值和对应的有效值相量关系 都遵从基尔霍夫定律。 基尔霍夫节点电流定律(KCL )指出:在任一时刻,电路中任一节点上电流的代数和为 零,即 ∑=0 i 它对应的相量形式为 (4-52) ∑ =?0 I 上式即为KCL 的相量形式。它表明在正弦交流电路中,任一节点上各电流的相量的代数和等于零。 同理可得,KVL 应用于正弦交流电路在任何瞬时都成立,即 ∑=0 u 其对应的相量形式为 (4-53) 0=∑ ?U 上式即为KVL 的相量形式。它表明:在正弦交流电路中,沿任一回路的各部分电压相量的代数和等于零。 2.用相量法分析RLC 串联电路 上节我们已学习了RLC 串联电路的分析和计算方法,本节,我们在建立电路相量模型的基础上,介绍用相量法分析和计算RLC 串联电路。 RLC 串联电路和它的相量模型及等效电路如图4-62所示。 图4-62RLC 串联电路及其相量模型 设正弦交流电压u = U Sin(ωt +φi ),其对应的电压相量为 / φu 电路中正弦电流为i= ISin(ωt+φi ),其对应的电流相量为 /φi 由三种基本元件的欧姆定律相量形式可知,电流在电阻R 上产生一个与电流同相位的 正弦电压: U U =?22I I =?? ?=I R U R

数码管动态扫描显示01234567

实验5 数码管动态扫描显示01234567 原理图:8个数码管它的数据线并联接到JP5, 位控制由8个PNP型三级管驱动后由JP8引出。 相关原理: 数码管是怎样来显示1,2,3,4呢?数码管实际上是由7个发光管组成8字形构成的,加上小数点就是8个。我们分别把他命名为 A,B,C,D,E,F,G,H。

搞懂了这个原理, 我们如果要显示一个数字2, 那么 A,B,G,E,D这5个段的发光管亮就可以了。也就是把B,E,H(小数点)不亮,其余全亮。根据硬件的接法我们编出以下程序。当然在此之前,还必须指定哪一个数码管亮,这里我们就指定最后一个P2.7。 LOOP: CLR P2.7 ;选中最后的数码管 SETB P0.7 ;B段不亮 SETB P0.5 ;小数点不亮 SETB P0.1 ;C段不亮 CLR P0.2 ;其他都亮 CLR P0.3 CLR P0.4 CLR P0.6 CLR P0.0 JMP LOOP ;跳转到开始重新进行

END 把这个程序编译后写入单片机,可以看到数码管的最后一位显示了一个数字2。 也许你会说:显示1个2字就要10多行程序,太麻烦了。 显示数字2则是C,F,H(小数点)不亮,同时由于接法为共阳接法,那么为0(低电平)是亮 为1(高电平)是灭。从高往低排列,(p0.7_p0.0)写成二进制为01111110, 把他转化为16进制则为A2H。我们可以根据硬件的接线把数码管显示数字编制成一个表格, 以后直接调用就行了。 有了这个表格上面显示一个2的程序则可简化为: LOOP: CLR P2.7 ;选中左边的数码管 MOV P0,#0A2H ;送数字2的代码到P0口 JMP LOOP ;跳转到开始重新进行 END

数码管显示控制电路设计

实验五数码管显示控制电路设计 一、设计任务与要求 能自动循环显示数字0、1、2、3、4、1、3、0、2、4 二、实验器材 74LS00 2片74LS10 1片74LS90 1片 74LS48 1片数码管等等 三、实验原理 数码管显示控制电路原理框图如下: 四、实验内容 利用所给器材,设计电路,使其能自动循环显示数字0、1、2、3、4、1、3、0、2、4。 分析可知,可以利用5421BCD码来实现。下面是要用到的真值转换表(左边是5421BCD码,右边是要输出的数字编码): QA QD QC QB D C B A

由真值表可知: D = 0 C = QD B 的卡诺图 A 的卡诺图 由卡诺图可以写出数学表达式: 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 1 0 1 1 0 0 1 0 1 1 1 0 0 0 0 0 X X 1 1 X X 1 1 X X 0 0 0 1 1 X X 1 1 X X 0 0 X X QAQD QCQB 00 01 11 10 QAQD QCQB 00 01 11 10

QC QA QAQB QC QA QAQB B ?=+= QB QA QC QD QA QB QA QC QD QA A ?=+= 根据数学表达式可作出电路连接图如下: 经软件模拟可以实现0、1、2、3、4、1、3、0、2、4的循环显示。

数码管显示设计

数码管显示设计 数码管是非常常见的东西,他能显示数字,以及字母,应用非常的广泛,本文我来和大家谈谈如何用单片机来驱动数码管 数码管的结构 数码管由7个发光二极管组成,行成一个日字形,它门可以共阴极,也可以共阳极.通过解码电路得到的数码接通相应的发光二极而形成相应的字,这就是它的工作原理. 基本的半导体数码管是由7个条状的发光二极管(LED)按图1所示排列而成的,可实现数字"0~9"及少量字符的显示。另外为了显示小数点,增加了1个点状的发光二极管,因此数码管就由8个LED组成,我们分别把这些发光二极管命名为"a,b,c,d,e,f,g,dp",排列顺序如下图1。 数码管引脚图及外形图 数码管按各发光二极管电极的连接方式分为共阳数码管和共阴数码管两种。 共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。当某一字段的阳极为低电平时,相应字段就不亮。共阴数码管内

部连接如图3所示。 共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管。共阳数码管在应用时应将公共极COM接到+5V,当某一字段发光二极管的阴极为低电平时,相应字段就点亮。当某一字段的阴极为高电平时,相应字段就不亮。共阳数码管内部连接如图2所示 图2:共阳数码管内部连接图 数码管的显示方式 数码管要正常显示,就要用驱动电路来驱动数码管的各个段码,从而显示出我们要的数字,因此根据数码管的驱动方式的不同,可以分为静态式和动态式两类。 ①动态显示驱动:数码管动态显示接口是单片机中应用最为广泛的一种显示方式之一,动态驱动是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,当单片机输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于单片机对位选通COM端电路的控制,所以我们只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的的COM端,就使各个数码管轮流受控显示,这就是动态驱动。在轮流显示过程中,每位数码管的点亮时间为1~2ms,由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感,动态显示的效果和静态显示是一样的,能够节省大量的I/O端口,而且功耗更低。 ②静态显示驱动:静态驱动也称直流驱动。静态驱动是指每个数码管的每一个段码都由一个单片机的I/O端口进行驱动,或者使用如BCD码二-十进制译码器译码进行驱动。静态驱动的优点是编程简单,显示亮度高,缺点是占用I/O端口多,如驱动5个数码管静态显示则需要5×8=40根I/O端口来驱动,要知道一个89S51单片机可用的I/O端口才32个呢:),实际应用时必须增加译码驱动器进行驱动,增加了硬件电路的复杂性。 前面我们学习了数码管的基础知识,现在马上来看看S51增强型实验板的数码管吧(图4),S51实验板上有5位高亮度共阳数码管DG1~DG5,可以用来做计数器(最大计数值99999)、温度显示、电子钟等显示实验,掌握数码管的静态显示驱动和动态显示驱动。

实验四八位七段数码管动态显示电路的设计

实验四八位七段数码管 动态显示电路的设计 Document serial number【KK89K-LLS98YT-SS8CB-SSUT-SST108】

八位七段数码管动态显示电路的设计 一、实验目的 1、了解数码管的工作原理。 2、学习七段数码管显示译码器的设计。 3、学习VHDL的CASE语句及多层次设计方法。 二、实验原理 七段数码管是电子开发过程中常用的输出显示设备。在实验系统中使用的是两个四位一体、共阴极型七段数码管。其单个静态数码管如下图4-4-1所示。 图4-1 静态七段数码管 由于七段数码管公共端连接到GND(共阴极型),当数码管的中的那一个段被输入高电平,则相应的这一段被点亮。反之则不亮。共阳极性的数码管与之相么。四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。 三、实验内容 本实验要求完成的任务是在时钟信号的作用下,通过输入的键值在数码管上显示相应的键值。在实验中时,数字时钟选择1024HZ作为扫描时钟,用四个拨动开关做为输入,当四个拨动开关置为一个二进制数时,在数码管上显示其十六进制的值。 四、实验步骤 1、打开QUARTUSII软件,新建一个工程。 2、建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。 3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光盘中 提供的示例程序。 4、编写完VHDL程序后,保存起来。方法同实验一。 5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。 6、编译仿真无误后,根据用户自己的要求进行管脚分配。分配完成后,再进行全编译 一次,以使管脚分配生效。 7、根据实验内容用实验导线将上面管脚分配的FPGA管脚与对应的模块连接起来。 如果是调用的本书提供的VHDL代码,则实验连线如下: CLK:FPGA时钟信号,接数字时钟CLOCK3,并将这组时钟设为1024HZ。

实验二 数码管显示电路设计

实验二数码管显示电路设计 一、实验目的 1、掌握七段数码管译码显示电路的设计; 2、正确理解移位寄存器的设计; 3、掌握七段数码管动态扫描电路的设计。 4、进一步掌握元件例化语句的应用。 二、实验设备 计算机软件:Quartus II EDA实验箱。主芯片:EPM7128SLC84-15或EP1K100QC208-3。下载电缆,导线等。 三、实验内容及步骤 在8个七段数码管上能够动态显示数字0~9。采用左移或右移不限,只需要能够实现一个方向移动即可。如:右移显示: 在8个数码管上依次显示01234567;90123456;89012345;78901234;67890123;56789012;45678901;34567890;23456789;12345678;01234567。 (一)移位寄存器设计 该移位寄存器可以存储8位十进制数,在时钟CP控制下依次右移一位,右移输入的十进制数字比原先寄存器中最左边那一位的数小1,从而实现数字0~9在8个数码管上按指定要求循环移位。复位信号有效时,寄存器被初始化为“01234567”。 VHDL参考源程序: 1、--程序包 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; PACKAGE PAKG IS SUBTYPE DIGIT_T IS INTEGER RANGE 0 TO 9; TYPE DISP_T IS ARRAY (7 DOWNTO 0) OF DIGIT_T; END PACKAGE PAKG; 2、--移位寄存器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE WORK.PAKG.ALL; ENTITY reg_shift IS PORT(CLK: IN STD_LOGIC; RESET: IN STD_LOGIC; num: OUT DISP_T); END ENTITY reg_shift; ARCHITECTURE ART OF reg_shift IS signal num_tmp:DISP_T; BEGIN PROCESS(RESET,CLK) IS BEGIN IF (RESET='1') THEN num_tmp(7)<=0; num_tmp(6)<=1; num_tmp(5)<=2; num_tmp(4)<=3; num_tmp(3)<=4; num_tmp(2)<=5; num_tmp(1)<=6; num_tmp(0)<=7; ELSIF (CLK'EVENT AND CLK='1') THEN FOR I IN 1 TO 7 LOOP num_tmp(I-1)<=num_tmp(I); END LOOP;

大学电路基础:相量法题目

第八章(相量法)习题解答 一、选择题 1.在图8—1所示的正弦稳态电路中,电流表1A 、2A 、3A 的读数分别为3A 、10A 、 6A ,电流表A 的读数为 D 。 A .19A ; B .7A ; C .13A ; D .5A 2.在图8—2所示的正弦稳态电路中,电压表1V 、2V 、3V 的读数分别为3V 、10V 、 6V ,电压表V 的读数为 A 。 A .5V ; B .7V ; C .19V ; D .13V 3.在正弦电路中,纯电感元件上电压超前其电流0 90的相位关系 B 。 A .永远正确; B .在电压、电流为关联参考方向的前提下才成立; C .与参考方向无关; D .与频率有关 4.在图8—3所示电路中,L X R =,且501=U V ,402=U V ,则电路性质为 B 。 A .感性的; B .容性的; C.电阻性的; D.无法确定 5.在图8—4所示正弦电路中,设电源电压不变,在电感L 两端并一电容元件,则电流表读数 D 。 A .增大; B .减小; C.不变; D.无法确定 二、填空题 1.正弦量的三要素是 有效值,角频率,初相位。 2.在图8—5所示正弦稳态电路中,045/2-=I A 。 解:0045/2j j 1)j1 1j11(/01-=+=+?= I A

3.在图8—6所示的正弦稳态电路中,电流表的读数为2A ,u 的有效值为100V ,i 的 有效值为22A 。 解: 100502=?=U V , 22) 50 100(22 2=+=I A 4.在图8—7所示正弦稳态电路中,电流表的读数为1A ,u 的有效值为50V ,i 的有 效值为 1A 。 解:取00/1=C I A ,则30j -=C U V , 215 j 30j -=-=L I A , I I I C L 121-=-=+= A , 于是 1=I A , 5030]1[402 2=+?=U V 5.在图8—8所示正弦稳态电路中,100=-==C L X X R Ω,且0 0/2=R I A , 则 电压j200=U V 。 解: 0/2000==R R I R U V , 2j j100 200j =-== C R C X U I A , j 2)2(+=+=C R I I I A , 0j20200j100j2)2(j =+?+=+=R L U I X U V 三、计算题 1.在图8—9所示电路中, 21U U U +=,则1R 、1L 、2R 、2L 应满足什么关系? 解:若使21U U U +=,则1U 与2U 同相,而 1U =)j (11L R I ω+ , 2 U =)j (22L R I ω+ 由此可得 2211j j R L R L ω=ω , 即2 2 11R L R L = 2.在图8—10所示的正弦电路中,电流表1A 、2A 的读数分别为4A 、3A ,试求当元 件2分别为R 、L 、C 时,总电流i 的有效值是多少? 解:当元件2为R 时 54322=+=I A ;

相关文档
最新文档