数字时钟课程设计报告

数字时钟课程设计报告
数字时钟课程设计报告

《电子线路课程设计报告》

系另

1」:

机电与自动化学院

专业班级:电气及自动化技术1001

学生姓名:陈星涯

指导教师:梁宗善

i=r

(课程设计时

间:

2012年1月3日——2012年1月13日)

华中科技大学武昌分校

1.课程设计目的................................................. 3页

2.课程设计题目描述和要求....................................... 3页

2.1课程设计题目............................................. 3页

2.2课程设计要求............................................. 3页

3. ......................................................................................................... 比较和选定设计的系统方案.................................................... 4页

3.1数字钟的构成............................................. 4页

4.单元电路设计及工作原理....................................... 5页

4.1时基电路................................................. 5页

a. 多谐振荡器的工作原理................................... 5页

4.2计数器................................................... 7页

a.中规模计数器组件介绍.................................. 7页

b.60 进制计数器 .......................................... 8页

C.12 翻1计数器........................................... 9页

4.3译码器................................................... 10页

4.4显示器................................................... 10页

4.5校时电路................................................. 11页

4.6定时控制电路............................................. 12页

4.7仿广播电台正点报时电路................................... 13页

5.调试过程及分析............................................... 14页

5.1显示器故障排查........................................... 14页

5.2计数器调试及分析......................................... 15页

5.3校时电路的调试........................................... 16页

5.4增加抗干扰电路........................................... 16页

5.5闹时电路的调试........................................... 17页

5.6仿广播电台整点报时电路调试............................... 17页

6.课程设计总结................................................. 17页

7.参考文献..................................................... 19页

8.附件一:电子时钟主体电路电路图............................... 20页

9.附件二:扩展电路电路图....................................... 21页

10.附件三:系统所需元器件清单 ................................ 22页

11.课程设计成绩.............................................. 23页

一、设计任务与目的

数字时钟是一种利用数字电路技术实现时、分、秒计时的装置,与传统的

机械式时钟相比,它具有更高的准确性和直观性,性能稳定,使用寿命长,且无机械传动装置。此外,它还具有整点报时、定时响闹功能,因此在人们日常的生活、学习、工作中有广泛的使用,已经成为了一种不可缺少的必需品。

数字时钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序逻辑电路。因此,我们此次设计与制作的目的是了解数字时钟的原理,从而学会制作数字钟。通过数字时钟的制作,进一步了解在电子产品制作中用到的中小规模集成电路的作用及实用方法,学习与掌握各种组合逻辑电路与时序逻辑电路的原理与使用方法。

二、课程设计题目描述和要求

1、课程设计题目

设计一个有“时”、“分”、“秒”显示,且有校时功能的数字电子钟。

2、课程设计要求

a.振荡器电路设计;

b.分频器电路设计;

c.时、分、秒计数器的设计;

d.时、分、秒译码显示电路的设计;

e.60进制电路,24进制电路(或12翻1电路)设计;

f.校时电路设计;

g.定时控制电路的设计;

h.仿电台整点报时电路的设计。

三、比较和选定设计的系统方案

1?数字钟的构成

数字时钟实际上是一个对标准频率(1HZ进行计数的计数电路,由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1kHz时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

2?数字钟组成方框图

由图可见:本数字钟电路主要由震荡器、分频器、校时电路、时

分秒计数器、译码显示器及整点报时电路、定时控制电路构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,再经过分频器输出标准“秒脉冲”送入秒计数器,秒计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号作为分计数器的脉冲信号,分计数器也采用60进制计数器,每累计60分钟发出一个“时脉冲”信号,该信号将被送到时

计数器,时计数器采用12翻1计数器。译码显示电路将时、分、秒计数器的输出状态送到七段译码显示器,通过六位LED七段显示器显示出来。校时电路用来对时、分显示数字进行调整;整点报时电路则根据计时系统的输出状态产生一脉冲信号,然后去触发音频发生器实现报时;定时控制电路由指定时刻发出的信号,驱动音响电路。

四、单元电路设计及工作原理

1.时基电路

时基电路的作用是产生一个标准时间信号(高电平持续时间为1s)。

本次课程设计时基信号由555定时器组成的多谐振荡器和3片74LS90 构成的分频器产生,如图附件二时基电路电路图。

a.多谐振荡器的工作原理

多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故称为多谐振荡器。

由555定时器构成的多谐振荡器如图1所示,R1, R2和C是外接定时元件,电路中将高电平触发端(6脚)和低电平触发端(2 脚)并接后接到R2和C的连接处,将放电端(7脚)接到R1,R2的连接

由于接通电源瞬间,电容C来不及充电,电容器两端电压uc为

低电平,小于(1/3 )Vcc,故高电平触发端与低电平触发端均为低电平,输出uo为高电平,放电管VT截止。这时,电源经R1, R2对电容C充电,使电压uc按指数规律上升,当uc上升到(2/3)Vcc时,输出uo为低电平,放电管VT导通,把uc从(1/3)Vcc上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短

与电容的充电时间有关。充电时间常数T充二(R1+ R2)Co

由于放电管VT导通,电容C通过电阻R2和放电管放电,电路进人第二暂稳态.其维持时间TPL的长短与电容的放电时间有关,放电时间常数T放

=R2C0随着C的放电,uc下降,当uc下降到(1/3 )Vcc时,输出uo。为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在

两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc

电压总是在(1/3?2/3 )Vcc之间变化。图1 (b)所示为工作波形。

2?计数器

秒脉冲信号经过6级计数器,分别得到“秒”个位、“秒”十位、

“分”个位、“分”十位以及“时”个位、“时”十位的计时。“秒”、“分”、计数器为60进制,小时为24进制。

a.中规模计数器组件介绍

二-五-十进制计数器74LS90内部具有两个独立的计数器:一个

是模二计数器;另一个为模五计数器;它的功能除计数外,还可以直

接置零和直接置9,74LS90功能表见表⑴。74LS90计数时序见表⑵。

74LS9 0功能表:

表1

74LS9C计数时序:

b.60进制计数

“秒”计数器电路与“分”计数器电都是60进制,它由一级10进制计数器和一级6进制计数器连接构成,如图2所示,采用两片中规模集成电路74SL90串接起来构成的“秒”、“分”计数器。

图2

IC1是十进制计数器,QD1作为十进制的进位信号,74LS90计数 器是

十进制异步计数器,用反馈归零方法实现十进制计数, IC2和与 非门组成六进制计数。74LS90是在CP 信号的下降沿翻转计数,QA2 和QC2相与0101的下降沿,作为“分”(“时”)计数器的输入信号。

QB2和 QC20110高电平1分别送到计数器。清零 R01和R02, 74LS90 内部

的R01和R02与非后清零而使数器归零,完成六进制计数。由此 可见IC1和IC2串联实现了进制。

C.12翻1计数器

小时计数电路是由74LS74和74LS191组成的12翻1计数,如图 3所示。当数字时钟运行到12时59分59秒时,秒的个位计数器再 输入一个秒脉冲的时数字时钟应自动显示为 01时00分00秒。

—I I l~

111 81 9112

Q3 Q2 Qi Qo 74LS90 Ro ⑴恥饋烫

HL LL

分进位脉

图3

3 ?译码器

译码是将给定的代码进行翻译。计数器采用的码制不同,译码 电路也不同。

CC4511驱动器是与8421BCD 编码计数器配合用的七段译码驱动 器。CC4511

配有灯测试LT 、动态灭灯输入RBI 、灭灯输入/动态灭灯 输出BI/RBO,当LT 二

“0”时,CC4511输出全“ T 。CC4511的使用方 法参照该器件功能的介绍。

CC451啲输出端和计数器对应的输出端、CC451啲输出端和七 段显示器的

对应段相连。

4 ?显示器

当数字钟的计数器CP 永冲的作用下,按60秒为一分、60分为一个 小时,

24小时为一天的计数规律计数时,就应将其状态显示成清晰的 数字符号。这就需

要将计数器的状态进行译码并将其显示出来。

本设计采用现在广泛使用的七段字符显示器来输出的数字,

这种

时个位

EF

+5

■C

1Q 1R CP<

74LS74 Q j

D

1 -S 1 -

Q 3 Q Z Q I Q D U/D 74LS191

LD gg 氏 Di Do G 段

字符显示器由七段可发光的线段拼合而成,显示器有两种:共阳极或

共阴极显示器。共阳极数码管的七个发光二极管的阳极接在一起,而

七个阴极则是独立的。共阴极数码管与共阳极数码管相反,七个发光二极管的阴极接在一起,而阳极是独立的。当共阳极数码管的某一阴极接低电平时,相应的二极管发光,可根据字形使某几段二极管发光,所以共阳极数码管需要输出低电平有效的译码器去驱动。共阴极数码管则需输出高电平有效的译码器去驱动。CC4511译码器对应的显示器是共阴(接地)显示器。

5.校时电路

校时电路实现对“时”、“分”、“秒”的校准。在电路中设有正常

计时和校时位置。“秒”、“分”、“时”的校准开关分别通过RS触发器

控制。如图4

6.定时控制电路设计

设计要求上午7时39分发出闹时信号,持续时间为一分钟。

因为7时39分对应的数字钟的时个位计数器的状态 (Q3Q2Q1Q0

H1=0111,分十位计数器的状态为(Q3Q2Q1Q0)M2=00,分个位计数器的状态为(Q3Q2Q1Q0M2=1001若将上述计数器输出为“ 1”的所有输出端经过与门电路去控制音响电路,可以使音响电路正好在7点

39分响,持续一分钟后停响。所以闹时控制电路信号Z的表达式为

Z= (Q2Q1Q) H1- (Q1Q2 M2- (Q3Q0 M1- M 式中M=1

用与非门实现上式所表达的逻辑功能,则可以将Z进行布尔代数变换,即Z =(Q2Q1Q0)H1 *(Q1Q2)M2 ?(Q3Q0)M1

实现上式的逻辑电路图如图5所示,其中74LS74为四输入二与非门,

74LS03为集电极开路的2输入四与非门,因0C门的输出端可以线与,使用时在它们的输出端与电源之间应该接一电阻R,外接电阻R的最大值

V cc - V OH min

R max =

n l oH m l lH

当OC门输出为低电平时,外界电阻R最小值

o V cc - V OL min

R max =

n I OL - m L lL

这里R= 3.3k Q。

图5

由图5可见上午7点39分时,音响电路的晶体管导通,则扬声器发出

1kHz的声音。持续一分钟后晶体管因输入端为“ 0”而截止,电路闹停。

7.仿广播电台正点报时电路

仿广播电台正点报时电路的功能要求是:每当数字钟计时快要到正点时发出声响,通常按照4低音1高音的顺序发出间断声响,以最后一声高音结束的时刻为正点时刻。

设4声低音(约500Hz)分别发生在59分51秒、53秒、55秒及57秒,最后一声高音(约1kHz)发生在59分59秒,它们的持续时间均为1秒。如表3所示。

由表3 可得:Q3S1二“0” 时500Hz输入音响;Q3S1二“ 1” 1kHz 输入音响。只有当分十位的Q2M2Q0M211,分个位的Q3M1 Q0M牡11。秒十位的Q2s2Qos2= 11及秒个位的Q0S仁1时,音响电路才能工作。仿电台正点报时的电路如图6所示。

CT (秒) Q JSL Qzst Q ifil

功W ? 50

:0 0 0 0

51

0 0 0 1

鸣低音

1

52

0 0 1

i ■停 53 0

0 ]

! i 鸣低音

54 0

1 0 0 停 55 0 f 0 J

鸣低音 56 0 1 L 0 停 57 0

I 1 1 鸣低音 58

1

1

0 0 0 停 59 1 0 0 1

鸣离音 00

L 0

0 0

Q

停一

表3

分代史—

Qu

分牛位丫 ______

Q 】 1

五、调试过程及分析

1. 显示器故障排查及分析

搭建好电子时钟的主体电路后,使用函数信号发生器输出频率为

1Hz,幅值为5V 的方波信号连接到电子时钟秒计数器 74LS90的CP A 端,发现显示

器显示出来的是乱码,后将 +5电源分别加在CC4511与 显示器abcdefg 脚相连

HZ

仿电台报时电路电路

秒十悅 枕T 位

音响电踣

的9到15脚,发现其中一个显示器有一段不亮,于是推测该段坏掉了或者该段现对应的阳极线路接触不好,后将

连接该脚的电线拔掉换了一根线,再检测,显示正常,但是乱码依然存在,仔细的检查CC451啲9到15脚分别通电后显示器各个段发亮的对应位置,发现g脚与f脚接反了,将接线改好后,显示器正常。在后来的调试过程中发现多个显示器出现个别显示段不亮,使用同样

的方法检测发现显示器是烧坏,经老师指导原来是我们的电路没有给显示器串联限流电阻,导致显示器电流过大极易损坏,于是给显示器串联一个51欧姆的电阻,问题得到解决。

2.计数器调试及分析

在给秒位计数器的CP A端输入频率为1Hz,幅值为5V的方波信号后,显示器并没有进位也没有随着脉冲信号跳动,分析是74LS90出

了故障,更换一个74LS90后问题依然存在,于是仔细对照电路图检查线路,发现74LS90的置零端没有接地,将74LS90的置零端接地

后秒个位显示器正常计数,但是秒十位的计数出现紊乱。首先为了判断是

74LS90的进位脉冲是否正常,将74LS90的11脚连线拔出,连接到示波器观察、测量,经计算发现11脚输出波形正常,接着将函数信号发生器产生的信号连接到74LS92的CR端,发现秒时位的显示器计数依然紊乱,继续检查74LS92与CC4511之间的接线,发现Q2 脚与Q0脚接错,将接线改正后给74LS92的CP 端接入信号,秒十位的显示器正常计数,将秒个位74LS90的11脚与秒十位的74LS92连接好,给秒个位接入1Hz方波信号,秒十位进位正常,到此为止秒的60位进制计数器以及显示器调试完成工作正常。使用同样的方法调试分的60位

进制计数器和时的12翻1计数器以及相应的译码器,全部调试完成,可以正常工作。

3.校时电路的调试

主电路的所有计数器以及译码器调试完成后,将校时电路接入,给电路输入100Hz方波信号后秒显示计数正常,但是分的个位没有进位,将秒十位的进位脉冲直接连接到分个位74LS92的CP端,通入信号后分的进位正常,但是时的个位不进位,同样将分十位的进位脉冲直接连接到74LS191的CP端,时的个位开始进位,依次判断,是校时电路出了故障。后检查三个74LS00集成2输入四与非门的接线,没有发现错误,于是怀疑是元件损坏,更换74LS00后发现问题依然

存在,后进一步检查整体电路恍然发现74LS00的地线没有接入主线路的地线,连接地线后秒、分、时进位终于都正常了。

4.增加抗干扰电路

为了验证时钟的稳定性和提高效率,使用函数信号发生器输出频率为1KHz 的方波接入到秒个位计数器74LS92的CP端,使时钟开始工作,观察各个计数器的工作情况。发现时十位在“翻1”的时候很

不稳定,有时候“翻1”有时候甚至“乱跳”,在老师的指导下给电源并联一个大电容和一个小电容,组成抗干扰电路,并且将D触发器即74LS74的1S D端接高电平,再次试验,每次12点过后都顺利“翻1” 了,也不会“乱跳”,电路抗干扰能力明显加强。

5?闹时电路调试

主体电路调试完毕后搭建闹时电路与主体电路连接并接通电源。设定在7点39分报时一分钟,结果实验时每个小时段的39分都会报时,分析逻辑表达式和

电路后初步判断问题出在报时电路时个位与主体电路的连接处,将主体电路时间调到7点39分后除去主体电路进位脉冲,使时钟停留在7点39分,用示波器分别检测报时电路4输入二与非门各处电平,发现与表达式(Q2Q1Q)H仁111 M=1—致。于是将主体电路的时间跳到10点39分,继续检查上述与非门各脚电平(Q2Q1Q0H仁010 M=1与预期一致,继续检测与非门输出脚,为高电平,与预期一致,再检测OC门的输出脚,为高电平与预期相反,于是判断判断OC门74LS03损坏,更换后再次检测,与预期一致。调节主体电路,使其在7点30分开始工作,到7点39分时准确报时持续一分钟,使时钟工作到其他时间段,在39分时均未报时。

6.仿广播电台正点报时电路调试

依照电路图搭建好仿广播电台正点报时电路并接入主体电路,通

电后电子时钟开始工作,经观察,在准点前十秒开始每隔一秒发出低鸣声,准点后结束。与预期结果一致。

六、课程设计总结

经过二周课时的构思、设计,我的数电课程设计一一数字时钟最终得以圆满结束。通过紧张而充实的课程设计,我不仅提高了动手操作能力,还对我以后所要做的毕业设计有了初步的了解。做好一个课

程设计或毕业设计不仅要具备扎实的书本知识并会善于应用,还要学

会利用网络资源查阅资料。最重要的是让我感受团队合作的优势和重

要性,我这个人比较性急, 有些毛手毛脚,老把线路接错却怎么也找

不出来,结果没有了耐心,都想放弃了,还好跟我的同组的陈力同学非常细心,每次由我接线,他帮我检查,几乎总能帮我精准的检查出错误,为我们节省了很多时间,也提高了我的积极性和信心。

另外,通过这次课程设计,暴露出了我的很多性格上的缺点,缺乏耐心,容易粗心,同时让我体会到了实际的工作与书本上的知识是有一定距离的,我们还需要进一步的学习。从客观上对自己在书本中所学的知识有了感性的认识,使自己更加充分地理解了理论与实际的关系,在这次课程设计中,我学会了如何看电路图,读电路图,如何利用网络资源,并对数字电路的应用和开发的设计思想有了更进一步的了解和掌握,使自己的知识体系更加健全,加深了对数字电子技

术的了解。从这次设计中,我体会到,如果将我们在大学里所学的知识与更多的实践结合在一起,用实践来检验真理,使一个大学生具备较强的处理基本事务的能力与比较系统的专业知识,进一步锻炼自身的动手能力,为将来能在社会上立足打下坚实的基础。

七、参考资料

[1]梁宗善?电子技术基础课程设计?华中科技大学出版社,2009

[2]朱定华?电子电路测试与实验?清华大学出版社,2004

[3]朱定华?模拟电子技术基础?清华大学出版社北京交通大学出版社,2005

[4]朱定华?现代数字电路与逻辑设计?清华大学出版社北京交通大学出

版社,2007

[5]华成英,童诗白?模拟电子技术基础(第四版)?高等教育出版社,2006

[6]阎石?数字电子技术基础(第五版)?高等教育出版社,2006

[7]康华光?电子技术基础(第五版)模拟部分?高等教育出版社,2006

[8]康华光?电子技术基础(第五版)数字部分?高等教育出版社,2006

[9]陈大钦,罗杰?电子技术基础实验(第三版)?高等教育出版社,2008

[10]罗杰,谢自美?电子线路设计?实验?测试(第四版)?电子工业出

版社,2008

[11]毕满清?电子技术实验与课程设计(第三版)?机械工业出版社,2005

课程设计成绩:

注:教师按学生实际成绩(平时成绩和业务考核成绩)登记并录入教务MIS系统,由系统自

动转化为“优秀(90?100分)、良好(80?89分)、中等(70?79分)、及格(60?69分)和不及格(60分以下)”五等。

指导教师评语:

指导教师(签名):

20 年月曰

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

数字时钟课程设计方案设计方案

课程设计题目名称:数字时钟 专业名称:电气工程及其自动化班级: ******** 学号: *******8 学生姓名: ******* 任课教师: *******

《电子技术课程设计》任务书

2.对课程设计成果的要求〔包括图表(或实物)等硬件要求〕:设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件的选择要有计算依据。 3.主要参考文献:⑴《电子技术课程设计指导》彭介华编,高等教育出版社,1997年10月 ⑵《数字电子技术》康华光编著高等教育出版社, 2001年 要求按国标GB 7714—87《文后参考文献著录规则》书写。 4.课程设计工作进度计划: 序号起迄日期工作内容 初步设想和资料查询,原理图的绘画 1 2015.11.18-2015.12.21 仿真调试,元件参数测定,实物的拼接与测试 2 2015.12.21-2016.1.8 叙写设计报告,总结本次设计,论文提交 3 2016.1.8-2016.1.18 主指导教师日期:年月日

摘要 数字时钟已成为人们日常生活中必不可少的必需品,广泛于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。并且数字时钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。报告围绕此次数字钟的设计进行介绍、总结,包含了设计的步骤,前期的准备,装配的过程。在实装时,采用了74LS90进行计数,用CD4060产生秒脉冲,CD4511进行数码管转换显示,还要考虑电路的校时、校分,每块芯片各设计为几进制等等,最后实现了数字钟设计所要求的各项功能:时钟显示功能;快速校准时间的功能。 关键字:数字时钟校时CD4511

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ?振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ?分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下: 图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下:

微机原理课程设计数字时钟程序

河北科技大学 课程设计报告 学生姓名:学号: 专业班级: 电子信息科学与工程 课程名称:微型计算机原理及应用 学年学期: 2 01 1 —2012 学年第1 学期 指导教师: 20 0 1 1年 1 2月 课程设计成绩评定表

目录 一、课设题目及目的………………………………….4 二、设计任务………………………………………….4 三、总框图及设计流程 (4) 四、?源程序清单 (6) 五、?调试结果及显示 (19) 六、?个人贡献………………………………………….19 七、课程设计总结及体会 (21) 一、课设题目及目的 实习题目:数字时钟程序 实习目的:通过实习,使我们进一步弄懂所学到的课本知识,巩固和深化对8086系统的指令系统、中断系统、键盘/显示系统、程序设计、应用开发等基本理论知识的理解,提高汇编语言应用于技术的实践操作技能,掌握汇编语言应用系统设计、研制的方法,培养利用科技革新、开发和创新的基本能力,为毕业后从事与其相关的工作打下一定的基础。

二、课设任务 本课题为利用汇编语言设置时钟程序,其显示效果为:截取系统时间,能以时、分、秒(其中时为24小时制)的形式显示,并且通过合理的操作能修改时和分的内容来修改时间。再有,可以给它设定一个ALARM时间,到这个时间它就能产生信号,起到定时作用,。除此之外还能显示日期,日期分为年、月、日,其显示方式为xxxx年xx 月xx日。 ' *

DB '***********PRESS ESCBUTTON TO EXIT**************',0AH,0DH,'$' TN DB'PLEASE INPUT THE NEW TIME(HH:MM:SS):',0DH,0AH,'$' TMDB'PLEASE INPUT THE ALARM TIME (HH:MM:SS):',0DH,0AH,'$' MUSICMESS DB'PLEASE CHOOSE THE TYPE OF MUSIC:1(FAST) 2(MIDDLE) 3(SLOW)',0DH,0AH,'$' MESS2DB'TIME IS:',0AH,0DH,'$' MESS3DB 'TODAY IS:',0AH,0DH,'$' DBUFFER1DB20DUP('') T_BUFFD B 40 ;在数据段开一段时间显示缓冲区 DB ? DB 40DUP(?) HOR DB? MIN DB? SEC DB? TEMPHOR DB ? TEMPMIN DB? TEMPSEC DB? MUSIC DW 800;存放音乐的频率数DATA ENDS STACK SEGMENT DB 100 DUP(?) STACK ENDS CODESEGMENT ASSUME CS:CODE,SS:STACK,DS:DATA START: CALL CLEAR ;调用清屏子程序 DISPLAY:;时间显示部分 MOV AX,DATA MOVDS,AX MOVBX,OFFSETT_BUFF;送T_BUFF的偏移地址到BX MOV AH,2CH;调用DOS时间调用功能,功能号:2CH,小时,分钟,秒数分别保存在CH,CL,DH中 INT 21H ;判断时间是否相等SUB DH,1;秒数+1修正 CALL CHECK ;.........................................................................

《数字逻辑》数字时钟课程设计报告资料

《数字逻辑》课程设计报告 题目数字时钟 学院(部)信息工程学院 专业计算机科学与技术 班级计算机一班 学生姓名 学号20132402 6 月29 日至 7 月 3 日共1 周 指导教师(签字)

题目 一.摘要: 钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。诸如定时自动报有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。所现实的意义。本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。 二.关键词: 校时计时报时分频石英晶体振荡器 三.技术要求: 1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能; 2、有计时功能,时钟不会在计时的时候停下。计时范围是0~99秒; 3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟; 4、要在七段显示器(共阴极6片)显示时间; 5、电子钟要准确正常地工作。 四、方案论证与选择: 钟表的是长期使用的器件,误差容易积累由此增大。所以要求分频器产生的秒脉冲要极其准确。而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。石英晶体

电子时钟课程设计_数电课程设计数字电子时钟的实现

电子时钟课程设计_数电课程设计数字电子 时钟的实现 课程设计报告设计题目:数字电子时钟的设计与实现班级: 学号: 姓名: 指导教师: 设计时间: 摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。通过仿真过程也进一步学会了Multisim 7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输 出方式灵活,如可以随意设置时、分、秒的输出,定点报时。由于集 成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、 性能稳定、维护方便等优点。 关键词:数字钟,组合逻辑电路,时序电路,集成电路目 录摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1设计任务 (4) 2.2设计要求 (4) 第3章系统设计 (6) 3.1方案论证 (6) 3.2系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1 单元电路工作原理 (8) 3.3.2 元件参数选择···································14 第 4章软件仿真 (15) 4.1仿真电路图 (15) 4.2仿真过程 (16)

数字时钟实验报告

单片机 数字时钟设计 实训报告 系别 专业 姓名 学号

摘要 单片机是把中央处理器CPU,随即存取存储器RAM,只读存储器ROM,定时器/计数器以及输入/输出即I/O接口电路等主要计算机部件,集成在一块集成电路上的微机。虽然只是一个芯片,但从组成和功能上来看,已具备微型系统的属性。单片机的发展经历了4个阶段,其向着低功耗CMOS化,微型单片化,主流与多品种共存的方向发展。单片机在工业自动化,仪器仪表,家用电器,信息和通讯产品及军事方面得到了广泛应用。另外,其发展前景不错。 本次实训以设计制作数字时钟为例,来加深我们对单片机特性和功能的了解,加强我们的编程思想。为今后从事单片机程序产品的开发,打下了良好的理论与实践基础。理论服务于实践,将知识转化为能力,也是本次试训的另一个重要目的。

目录 一、整体设计方案 (3) 1. 方案设计要求 (3) 2. 方案设计与论证 (3) 3. 整体设计框图 (4) 二、数字时钟的硬件设计 (4) 1. 最小系统设计 (4) 2. LED显示电路 (8) 3. 键盘控制电路 (9) 4. 数字时钟的原理图 (10) 三、数字时钟的软件设计 (11) 1. 系统软件设计流程图 (11) 2. 数字时钟主程序 (14) 四、调试与仿真 (18) 1. 数字时钟系统PROTUES仿真 (18) 2. 软件与硬件调试 (19) 3. 系统性能测试与功能说明 (19) 4. 出现问题及解决 (19) 五、实验结论 (20) 六、心得体会 (21) 附录:1.原器件清单 (22) 2.参考文献 (22)

一、整体方案设计 1. 方案设计要求 设计制作一个数字时钟,要求能实现基本走时,并以数字形式显示时、分、秒;采用24小时制;能校时、校分、校秒;也可以添加其他功能. 2. 方案设计与论证 方案一: 采用各种纯数字芯片实现数字时钟的设计。优点:各个模块功能清晰,电路易于理解实现。缺点:各个模块功能已定不能进行智能化调整,整体电路太庞大。 方案二: 采用 FPGA模块用硬件语言实现功能。优点:运算速度快,走时精度高,算法简单。缺点:成本高,大材小用。 方案三: 采用单片机最小系统实现功能。优点:电路简单,能通过程序进行随机调整并扩展功能,成本低,易于实现。缺点:走时有一定的误差。 经过综合考虑成本问题以及他人接受程度,选择第三种方案实现设计要求。

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

微机原理课程设计报告-数字时钟的实现(附代码)

合肥工业大学 计算机与信息学院 课程设计 课程:微机原理与接口技术设计专业班级:计算机科学与技术x班学号: 姓名:

一、设计题目及要求: 【课题6】数字时钟 1.通过8253 定时器作产生秒脉冲定时中断。在中断服务程序中实现秒、分、小时的进位(24小时制)。 2.在七段数码管上显示当前的时分秒(例如,12 点10 分40 秒显示为121040)。 3.按“C”可设置时钟的时间当前值(对准时间)。 二、设计思想: 总体思想: 1、功能概述: 实验箱连线: 本实验建立在Dais实验箱基础上完成的基本连线及程序如下: 138译码器: A,B,C,D,分别连接A2,A3,A4,GS; y0连接8253的CS片选信号; y1连接8259的CS片选信号; 8253连线: 分频信号T2接8253的CLK0; 8253的OUT0接8259的IR7; 8253的gate信号接+5V; 8259连线: 8259的数据线接入数据总线;

本程序包括显示模块,键盘扫描模块,时间计数模块,设置模块等几个模块, (1)程序运行后,LED显示000000初始值,并且开始计数 (2)按C键进行设置初始时间,考虑到第一个数只能是0,1,2,当第一个数显示2时第二个数只能显示0~4,同理下面各位应满足时钟数值的合理的取值; (3)在手动输入初始值时,按D键进行回退1位修改已设置值,连续按D键可以全部进行删除修改。 2、主程序设计 主程序中完成通过调用子程序完成对8253及8259的初始化,对8259进行中断设置。主要在显示子程序和键盘子处理程序之间不断循环,8253每一秒给8259一个刺激,当8259接受到刺激后会给CPU一个中断请求,CPU会转去执行中断子程序,而中断子程序设置成时间计数加,即完成电子表的整体设计。详细流程图见图三-1。 3、LED显示子程序设计 本程序显示部分用了6个共阳极LED作为显示管,显示程序要做到每送一次段码就送一次位码,每送一次位码后,将位码中的0右移1位作为下次的位码,从而可以实现从左到右使6个LED依次显示出相应的数字。虽然CPU每隔一定时间便执行显示程序,但只要这个时间段不太长,由于人眼的视觉作用,就可以在6个LED上同时见到数字显示。 4、键盘扫描子程序设计 本程序需要用键盘对时间的初始值进行设置,因此对键盘扫描的子程序需要满足的功能如下: 判断是否是C键,若不是就返回至主程序,若是C键就开始对时间初始值进行设置,同时因注意到第一个值不可以超过2,第一个数是2时第二数不能超过4,余下的同理要满足时间数值的取值范围呢,若不是合法输入不予反应继续等待输入。当遇到输入数值错误时可以按下D键进行删除一位重新设置;当6位初始值全部设置成功后,电子表将自动开始走表。 5、时间运算子程序设计 该子程序的主要功能是对时、分、秒的运算,并把运算出的最终结果存到事先已经开辟

电子时钟课程设计55026

. 单片机课程设计题目:电子时钟 班级: 姓名: 学号: 指导教师: 设计时间:

.

摘要 针对数字时钟的问题,利用8051单片机,proteus软件,vw(伟福)等软件,运用单片机中定时计数器T0,中断系统以及按键的控制实现了电子时钟的设计。设计的电子时钟通过数码管显示,并能通过按键的设计实现小时与分钟的调整。时间的启动与暂停等等。 关键字:数字时钟;单片机;定时计数器 .

1 引言 时钟,自他发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术不断的发展,人们对时间计量的进度要求越来越高,应用越来越广。怎样让时钟更好地为人类服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。 现金,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都使用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示器,减小了计时误差,这种表具有时、分、秒显示的功能,还可以进行时、分的校对,片选的灵活性好。 时钟电路在计算机系统中起着非常重要的作用,是保证系统正常工作的基础。在一个单片机应用系统中,时钟有两方面的含义:一是指为保障系统正常工作的基准震荡定时信号,主要由晶振和外围电路组成,晶振频率的大小决定了单片机系统工作的快慢;二是指系统的标准定时时钟,及定时时间,它通常有两种方法实现:一是软件实现,即用单片机内部的可编程定时/计数器来实现,但误差很大,主要用在对时间精度要起不高的场合;二是用专门的时钟芯片实现,在对时间精度要求很高的情况下,通常采用这种方法。本文主要介绍用单片机内部的定时计数器来实现电子时钟的方法,以单片机为核心,辅以必要电路,构成了一个单片机电子时钟。 单片机应用系统由硬件系统和软件系统两部分组成。硬件系统是指单片机以及扩展的存储器、I\O接口、外围扩展的功能芯片以及接口电路。软件系统包括监控程序和各种应用程序。 在单片机应用系统中,单片机是整个系统的核心,对整个系统的信息输入、处理、信息输出进行控制。与单片机配套的有相应的复位电路、时钟电路以及扩展的存储器和I\O接口,使单片机应用系统能够运行。 在一个单片机应用系统中,往往都会输入信息和显示信息,这就涉及键盘和显示器。在单片机应用系统中,一般都根据系统的要求配置相应的键盘和显示器。配置键盘和显示器一般都没有统一的规定,有的系统功能复杂,需输入的信息和显示的信息量大,配置的键盘和显示器功能相对强大,而有些系统输入/输出的信息少,这时可能用几个按键和几个LED指示灯就可以进行处理了。在单片机应用系统在中配置的键盘可以是独立键盘,也可能是矩阵键盘。显示器可以是LED指示灯,也可以是LED数码管,也可 .

数字电路课程设计--数字时钟

数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 (2)系统框图。

译码器译码器译码器 时计数分计数秒计 校时电路 振荡器分频器 系统方框图 (3)系统组成。 1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD锁存译码器4511,接受74LS90来的信

数字电子时钟实训报告

电子钟实训报告 课程名称:电气自动化 班级:电气S09-4班 指导老师:刘云芳 姓名:谷宇 一.实训目的: 完成基于CPLD的多功能数字钟设计。硬件界面为一个8位的LED数码管,时间显示方式为8位同时显示,即显示状态为:88-88-88。显示的时间制为24小时制。 1.设计一个具有“时”、“分”、“秒”的十进制显示计时器,要求为24小时循环。.具有校时、校分功能。 2、学会怎么样去焊接元器件较多的电子产品。 3.了解各集成块的功能,进一步加强该元件的使用。 二.实训要求: 1数字钟的功能要求;准确计时,以数字形式显示时,分,秒的时间,

小时要Array求为“24 翻1,”,分和秒的计时要求为60进位, 要求校正时间电路。 2直流稳压电源的功能要求:输入220v交流电压,输出+5v直流电压。 三.电路图的绘制: 、秒、分为00~59六十进制计数器。 3、时为00~23二十四进制计数器。 4、可手动校正:能分别进行分、时的校正。只要将开关置于手动位置,可分别对分、 时进行手动脉冲输入调整或连续脉冲输入校正础上绘制的,它是电路组装、调试和 维修的依据。绘制电路图时,注意以下几点: 1、元器件布局合理、排列均匀、图面清晰、便于阅读。 2、集成电路的型号不要标错,引脚要标明,不要遗漏。 3、线条要清晰,明了;在电气连接点的地方要注意区分。 基本设计思路:通过运用CD4518芯片来构成两个60进制的计数器做时钟的秒、分 电路和一个24进制的计数器做“时”电路;然后用CD4543芯片来将二进制数解码 驱动二极管发亮。前提中,运用4060和4040芯片分频来产生秒脉冲信号,和调时 的目的。

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

数字时钟设计实验报告

电子课程设计 题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路与校时电路构成电路。 秒时钟信号发生器可由振荡器与分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时与分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器就是数字电子钟的核心部分,它的精度与稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ? 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ? 分频器: 分频器功能主要有两个,一就是产生标准秒脉冲信号,一就是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数与进位功能。利用74LS161与74LS11设计6进制计数器显示秒的十位 ,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路 ?60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0

数字时钟实训报告

课程实训报告课程名称:《数字设备设计与生产实训》 实训题目:24/12小时制数字时钟 专业:通信技术班级:15级 学生姓名:叶之梦 陈杰学号:1503534 1503535 指导教师:刘旭飞职称:讲师 部门:电子信息工程学院 起止日期:2016年 12月23 日至 2016 年 12月31 日 教务处制

重庆工商职业学院课程实训报告编写规范 每位学生做完实训后均应提交课程实训报告(不少于2000字)或实训作品。格式和内容要求如下: 1.页面设置:纸型为A4,纵向,左边距为 2.5厘米,上、下、右的边距均为2厘米。 2.正文: (1)内容要求:(仅作参考,可自行拟定内容) △实训目的 △实训内容 △需求分析 △概要设计 △详细设计 △调试分析 △用户使用说明 △测试结果 △实训建议、意见、体会 △附录或参考资料 (2)格式要求: 每章标题以三号黑体居中打印;章下空二行为节,以四号黑体左起打印,节下空一行为小节,以小四号黑体左起打印。换行后以小四号宋体打印正文。正文内的标题号用1.、(1)、a等依次标出。 重庆工商职业学院课程实训成绩评定

前言: 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉和掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题和故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计和组织实验能力。本次课程设计的目的在于培养学生对基本电路的应用和掌握,使学生在实验原理的指导下,初步具备基本电路的分析和设计能力,并掌握其应用方法;自行拟定实验步骤,检查和排除故障、分析和处理实验结果及撰写实验报告的能力。综合实验的设计目的是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识灵活运用,进行工程创新设计、提高实验技能的实践。数字电子钟是一种计时装置,它具有时、分、秒计时功能和显示时间功能。数字电子钟由于采用了石英技术,走时精度高、稳定性好,不需要经常调校,使用携带方便。因此,在定时控制及时间程序控制等方面都得到广泛的应用。本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固和掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识和了解。

电子技术课程设计数字时钟

目录 目录 (1) 前言 (2) 内容摘要 (2) 设计要求 (2) 第一章方案设计 (3) 第二章硬件设计及仿真 (4) 2.1振荡器的设计 (4) 2.2分频器的设计 (6) 2.3时间计数器的设计 (7) 2.3.1六十进制计数器 (7) 2.3.2二十四进制计数器 (8) 2.4译码器与显示器的设计 (9) 2.5校时电路 (10) 第三章电路的总体设计 (11) 第四章元器件清单及部分芯片介绍 (12) 4.1元器件清单 (12) 4.2部分芯片功能介绍 (13) 4.2.1 74LS90N (13) 4.2.2 555 (14) 第五章总结 (16) 附录参考文献 (17)

前言 内容摘要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 设计要求 (1)、要求电路能够产生定时脉冲; (2)、要求电路能够根据对定时脉冲的计算得到时,分,秒;(3)、要求电路能够产生时,分,秒。

数字时钟课程设计报告

《电子线路课程设计报告》 系另 1」: 机电与自动化学院 专业班级:电气及自动化技术1001 学生姓名:陈星涯 指导教师:梁宗善 i=r (课程设计时 间: 2012年1月3日——2012年1月13日) 华中科技大学武昌分校 1.课程设计目的................................................. 3页 2.课程设计题目描述和要求....................................... 3页 2.1课程设计题目............................................. 3页

2.2课程设计要求............................................. 3页 3. ......................................................................................................... 比较和选定设计的系统方案.................................................... 4页 3.1数字钟的构成............................................. 4页 4.单元电路设计及工作原理....................................... 5页 4.1时基电路................................................. 5页 a. 多谐振荡器的工作原理................................... 5页 4.2计数器................................................... 7页 a.中规模计数器组件介绍.................................. 7页 b.60 进制计数器 .......................................... 8页 C.12 翻1计数器........................................... 9页 4.3译码器................................................... 10页 4.4显示器................................................... 10页 4.5校时电路................................................. 11页 4.6定时控制电路............................................. 12页 4.7仿广播电台正点报时电路................................... 13页 5.调试过程及分析............................................... 14页 5.1显示器故障排查........................................... 14页 5.2计数器调试及分析......................................... 15页 5.3校时电路的调试........................................... 16页 5.4增加抗干扰电路........................................... 16页 5.5闹时电路的调试........................................... 17页 5.6仿广播电台整点报时电路调试............................... 17页 6.课程设计总结................................................. 17页 7.参考文献..................................................... 19页 8.附件一:电子时钟主体电路电路图............................... 20页 9.附件二:扩展电路电路图....................................... 21页 10.附件三:系统所需元器件清单 ................................ 22页 11.课程设计成绩.............................................. 23页 一、设计任务与目的 数字时钟是一种利用数字电路技术实现时、分、秒计时的装置,与传统的

相关文档
最新文档