利用拨码开关控制数码管进行十六进制数字显示

利用拨码开关控制数码管进行十六进制数字显示
利用拨码开关控制数码管进行十六进制数字显示

中北大学

课程设计说明书

学生姓名:王白军学号:0706024150学院: 电子与计算机科学技术学院

专业: 微电子学

题目: 利用拨码开关控制数码管进行十六进制数字显示

指导教师:王红亮职称: 讲师

2010 年 6 月 25 日

目录

1. 课程设计目的 (2)

2. 课程设计内容和要求 (2)

2.1 设计内容 (2)

2.2 设计要求 (2)

3. 设计方案及实现情况 (2)

3.1 设计思路求 (2)

3.2 工作原理及框图 (2)

3.3 模块功能描述 (4)

3.4 仿真结果 (5)

3.5 实验箱验证情况 (5)

4. 课程设计总结 (8)

5. 参考文献 (8)

1.课程设计目的

(1)学习操作数字电路设计实验开发系统,掌握液晶显示器的工作原理及应用。(2)掌握组合逻辑电路、时序逻辑电路的设计方法。

(3)学习掌握可编程器件设计的全过程。

2.课程设计内容和要求

2.1设计内容

利用拨码开关控制数码管进行十六进制数字显示。

2.2设计要求

(1)学习掌握拨码开关控制模块、数码管的工作原理及应用;

(2)熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑;

(3)仿真所编写的程序,模拟验证所编写的模块功能;

(4)下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;

(5)整理设计内容,编写设计说明书。

3.设计方案及其实现

3.1 设计思路

由于七段数码管是共阴极的,顾可以用七个电平驱动数码管的显示。通过对不同管脚的点亮,使数码管显示16进制的每个数字。可以用四个拨码开关组合成4位BCD以表示16进制。当四位BCD变化时,在输出端输出相应的高低电平以驱动七段数码管的显示。

3.2 工作原理及框图

七段共阴极数码管由数码管由abcdefg七段组成,分别对不同的引脚写高电平可以点亮。在输入端输入相应的bcd码,在输出端就会产生相应的电平以驱动数码管的显示。由于只需要16个数的显示。那么拨码开关只需用四个就可以实现16个数字的表示。

七段数码管如下图所示:

图1

由图知,如果要显示数字“0”,只需点亮abcdef段,对应的输出端电平为1111110. 要显示数字“1”,只需点亮bc段,对应的输出端电平为0110000. 要显示数字“2”,只需点亮abdeg段,对应的输出端电平为1101101. 如果要显示数字“3”,只需点亮abcdg段,对应的输出端电平为1111001. 如果要显示数字“4”,只需点亮bcfg段,对应的输出端电平为0110011. 如果要显示数字“5”,只需点亮acdfg段,对应的输出端电平为1011011. 如果要显示数字“6”,只需点亮cdefg段,对应的输出端电平为0011111. 如果要显示数字“7”,只需点亮abc段,对应的输出端电平为1110000. 如果要显示数字“8”,只需点亮abcdef 段,对应的输出端电平为1111111. 如果要显示数字“9”,只需点亮abcfg段,对应的输出端电平为1110011. 如果要显示数字“A”,只需点亮abcefg段,对应的输出端电平为1110111. 如果要显示数字“b”,只需点亮cdef段,对应的输出端电平为0011111.同理可以显示其他数字和字母。

3.3 模块功能描述

根据输出功能的要求,写出如下vhdl代码已实现所需的功能。

3.4 仿真结果

通过拨动开关对输入端依次输入BCD码,然后仿真得出以下波形图。

图2

3.5 实验箱验证情况

将程序仿真编译后下载到FPGA芯片,拨动拨码开关,可以在数码管上依次显示16进制的数字,图3是硬件的连线图。

图3

以下是拨动拨码开关显示的16进制数的截图,从0到F的显示。

图4

图5

图6

图7

4.课程设计总结

PLD的发展趋势:向高集成度、高速度方向进一步发展,最高集成度已达到400万门;向低电压和低功耗方向发展,内嵌多种功能模块RAM,ROM,FIFO,DSP,CPU方向发展;向数、模混合可编程方向发展。以此我们必须掌握FPGA的开发及应用。要实现某一功能,首先应根据要求查找相关资料,确定实现方案。然后设计输入,对输入的文件编译后波形仿真。经过仿真后下载到FPGA再进行验证,最终实现功能。

5.参考文献

1.任勇峰,庄新敏.VHDL与硬件实现速成. 北京:国防工业出版社,2005

2. 侯伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计. 西安:西安电子科

技大学出版社,1999

3. 基于FPGA的数字系统设计.西安:西安电子科技大学出版社,2008

4. 罗苑棠.CPLD/FPGA常用模块与综合系统设计实例精讲.北京:电子工业出版

社,2007

单片机控制步进电机和数码管显示

一、设计任务书 设计内容:用80C51单片机设计一个步进电机控制器 设计要求: 1.用8015设计一个四相步进电机。 2.可控制步进电机的启动与停止,正转与反转。 3.10档速度调节。 4.点动控制。 5.可显示电机运行参数。 二、设计总体方案 (一)控制方式的选择 控制主要用于电机速度和方向的转换。控制方式有按键控制和开关控制两种。按键较开关而言,操作更加简便,故选按键控制。 方案一:独立按键。独立按键可自由连接,线路简单。 方案二:编码式键盘。编码式键盘的按键接触点接于74LS148芯片。当键盘上没有闭合时,所有按键都断开,当某一键闭合时,该键对应的编码由74LS148输出。 本次设计所需按键不多,不需要采用复杂编码,考虑硬件条件、线路连接和经济性等方面,选择方案一。 (二)电机电路设计方案的选择 由于条件的限制,对于电机的选择只能是实验台上最小步距角18°的电机,其中已包含了驱动电路。 (三)单片机的选择 方案一:AT89C51高性能8位单片机,内部集成CPU、存储器、寄存器、I/O接口,从而构成较为完整的计算机,价格便宜。 方案二:C8051F005单片机,该单片机是完全集成的混合信号系统及芯片,具有8051兼容的微控制器内核,与MCS-51指令集完全兼容。除了具有标准8052的数字外设部件,片内还继承了数据采集和控制系统中常用的模拟部件和其他数字外设及功能部件,执行速度快,但价格较贵。 本次课程设计是在仿真环境下进行,没有太过考虑单片机选择的问题,但就设计本身来讲,从物美价廉的角度考虑,选择方案一较合适。 (四)显示方案的选择 方案一:采用LED数码管。LED数码管是轮流现实的,其利用人烟的视觉暂留特性,使人感觉不到数码管闪动,看到每只数码管都常亮。利用其显示必须不停给数码管数据输入口循环赋值,显示内容较多,编程和接线较为复杂。 方案二:采用LCD1602液晶显示器。LCD1602具有功率小,效果明显,变成容易等优点,且它最多能显示2×16个字符,可以轻松满足设计要求。 由上可知,LCD1602液晶显示器的优点突出,故选择方案二。 (五)软件部分的选择 软件部分的选择主要是指编程语言的选择,编译调试工具根据设计平台选择伟福软件。编程语言主要有以下两种方案。

数码管显示动态数字 单片机报告

目录 一、设计题目 (2) 二、设计目的 (2) 2.1设计目的要求 (2) 2.2 系统设计意义 (2) 三、系统硬件图 (3) 四、程序流程图 (3) 五、系统说明与分析 (4) 5.1系统主要组成部分 (4) 5.2 单片机最小系统部分 (4) 5.2.1 MCS-51系列单片机概述 (4) 5.2.2 MCS-51系列单片机的并行I/O口 (5) 5.2.3 MCS-51系列单片机的工作方式和时序 (8) 5.3串行转并行部分 (10) 5.3.1 74ls164的概述 (10) 5.3.2 74ls164的功能 (15) 5.4数码显示部分 (16) 5.4.1概述 (16) 5.4.2 LED数码管引脚结构 (16) 5.4.3 LED数码管显示原理 (17) 5.4.4 LED数码管的驱动方式 (17) 5.5电路板的制作 (18) 5.6 系统连线说明分析 (19) 六、源程序 (20) 七、总结 (22) 八、参考文献 (23)

一、设计题目 通过51系列单片机的串行口和74ls164显示0~9十个数字。 二、设计目的 该单片机最小应用系统设计目的及要求如下: 2.1设计目的要求 1、通过本次实验,掌握单片机串行口的扩展功能; 2、通过对单片机的使用和编程,了解单片机的应用编程; 3、搭建单片机最小应用系统,进一步加深对单片机应用的理解,提高处理实际问题的能力和独立分析思考的能力; 4、掌握单片机汇编编程技术中的设计和分析方法; 5、学会使用并熟练掌握电路绘制软件Protel99SE(或DXP); 6、掌握电路图绘制及PCB图布线技巧。 2.2 系统设计意义 1、在系统掌握单片机相应基础知识的前提下,熟悉单片机最小应用系统的设计方法及系统设计的基本步骤。 2、完成所需单片机最小应用系统原理图设计绘制的基础上完成系统的电路图设计。 3、完成系统所需的硬件设计制作,在提高实际动手能力的基础上进一步巩固所学知识。 4、进行题目要求功能基础上的软件程序编程,会用相应软件进行程序调试和测试工作。 5、用AT89S51,74ls164设计出题目所要求的数字显示,实现循环显示,并针对实际设计过程中软、硬件设计方面出现的问题提出相应解决办法。 6、通过单片机应用系统的设计将所学的知识融会贯通,锻炼独立设计、制作和调试单片机应用系统的能力;领会单片机应用系统的软、硬件调试方法和系统的研制开发过程,为进一步的科研实践活动打下坚实的基础。

7段数码管显示电路

4.4 显示模块 4.4.1 7段数码管的结构与工作原理 7段数码管一般由8个发光二极管组成,其中由7个细长的发光二极管组成 数字显示,另外一个圆形的发光二极管显示小数点。 当发光二极管导通时,相应的一个点或一个笔画发光。控制相应的二极管导通,就能显示出各种字符,尽管显示的字符形状有些失真,能显示的数符数量也有限,但其控制简单,使有也方便。发光二极管的阳极连在一起的称为共阳极数码管,阴极连在一起的称为共阴极数码管,如图4.9所示。 4.4.2 7段数码管驱动方法 发光二极管(LED 是一种由磷化镓(GaP )等半导体材料制成的,能直接将电能转变成光能的发光显示器件。当其内部有一一电流通过时,它就会发光。 7段数码管每段的驱动电流和其他单个LED 发光二极管一样,一般为5~10mA ;正向电压随发光材料不同表现为1.8~2.5V 不等。 7段数码管的显示方法可分为静态显示与动态显示,下面分别介绍。 (1) 静太显示 所谓静态显示,就是当显示某一字符时,相应段的发光二极管恒定地寻能可截止。这种显示方法为每一们都需要有一个8位输出口控制。对于51单片机,可以在并行口上扩展多片锁存74LS573作为静态显示器接口。 静态显示器的优点是显示稳定,在发光二极管导通电注一定的情况下显示器的亮度高,控制系统在运行过程中,仅仅在需要更新显示内容时,CPU 才执行一次显示更新子程序,这样大大节省了CPU 的时间,提高了CPU 的工作效率;缺点是位数较多时,所需I/O 口太多,硬件开销太大,因此常采用另外一种显示方式——动态显示。

(2)动态显示 所谓动态显示就是一位一位地轮流点亮各位显示器(扫描),对于显示器的每一位而言,每隔一段时间点亮一次。虽然在同一时刻只有一位显示器在工作(点亮),但利用人眼的视觉暂留效应和发光二极管熄 灭时的余辉效应,看到的却是多个字符“同时”显示。显示器亮度既与点亮时的导通电流有关,也与点亮时间和间隔时间的比例有关。调整电流和时间参烽,可实现亮度较高较稳定的显示。若显示器的位数不大于8位,则控制显示器公共极电位只需一个8位I/O 口(称为扫描口或字位口),控制各位LED 显示器所显示的字形也需要一个8位口(称为数据口或字形口)。 动态显示器的优点是节省硬件资源,成本较低,但在控制系统运行过程中,要保证显示器正常显示,CPU 必须每隔一段时间执行一次显示子程序,这占用了CPU 的大量时间,降低了CPU 工作效率,同时显示亮度较静态显示器低。 综合以上考虑,由于温度显示为精确到小数点后两位,故只需4个数码管,又考虑到CPU 工作效率与电源效率,本毕业设计采用静态显示。为共阳极显示。 4.4.3 硬件编码 动74LS47是一款BCD 码转揣为7段输出的集成电路芯片,利用它可以直接驱动共阳 极的7段数码管。它的引脚分部和真值表分别下图。

实验二 数码管动态扫描显示实验

实验二数码管动态扫描显示实验 一、实验目的 1.学习和理解数码管动态扫描的工作原理。 2.学习和掌握数码管动态扫描的电路接口设计及程序编写。 二、实验设备 1.USB线 2.单片机最小系统教学实验模块 3.动态数码管显示模块 三、实验要求 1. 使8位数码管动态显示“0 1 2 3 4 5 6 7”字样 2. 使8位数码管动态显示时间2013年4月18日,即“2 0 1 3 04 18”字样 3. 用Proteus仿真软件画出实验电路图,将在uVision3 IDE软件中生成*.hex 下载到Proteus仿真电路图中的单片机芯片中,观察实验现象。 四、实验原理 1. 8段数码管显示原理 数码管中的每一段相当于一个发光二极管,8段数码管则具有8个发光二极管。对于“共阳极”的数码管,内部每个发光二极管的阳极被接在一起,成为该各段的公共选通线;发光二极管的阴极则成为段选线。对于“共阴极”数码管,则正 好相反,内部发光二极管的阴极接在一起,阳极成为段选 线。这两种数码管的驱动方式是不同的。当需要点亮“共 阳极”数码管的一段时,公共段需接高电平(即写逻辑1)、 该段的段选线接低电平(即写逻辑0),从而该段被点亮。 当需要点亮“共阴极”数码管的一段时,公共段需接低电平 (即写逻辑0)、该段的段选线接高电平(即写逻辑1), 该段被点亮。 数码管的段位顺序如右图所示: 一般来说在一个字节中按照dpgfedcba的顺序放置字型码,比如在一个“共阴极”数码管上要显示“1”,则b、c段需被点亮,因此在段选线中写入06H。例如使用P0口接段选线,则使用下面的语句即可点亮数码管: P0=0x06; 对应规则: dp----->D7 g----->D6 f----->D5 e----->D4

拨码开关输入数码管显示实验

综合课程设计实验报告 班级: 姓名: 学号:11 指导老师:

实验名称: 拨码开关输入数码管显示实验 实验要求: 1. 掌握数码管显示原理 2. 掌握拨码开关工作原理 3. 通过FPGA用拨码开关控制数码管显示 实验目标: 4位拨码开关分别对应4位数码管,拨动任意1位开关,对应的数码管将显示数字1,否则显示数字0。 实验设计软件 Quartus II 实验原理 1.数码管显示模块 电路原理图:

如图所示,数码管中a,b,c,d,e,f,g,dp分别由一个引脚引出,给对应的引脚高电平,则对应引脚的LED点亮,故我们在程序中可以设定一个8位的二进制数reg【7:0】h,每一位对应一个相应的引脚输出,那么我们就可以通过对x的赋值,控制对应的8个LED亮灭的状态进行数字显示。例如,如果我们显示数字2,则在数码管中,a、b、d、e、g亮,c、f、dp不亮,则显示的是数字2,即h=’b代表显示数字2。 2.拨码开关模块 电路原理图: 拨码开关有8个引脚,每个引脚对应于数码管的一个LED灯,当拨码开关的一个引脚是高电平时,则对应的数码管一个LED灯亮,其他7个LED等不亮。通过此原理来实现数码管的LED灯亮暗情况从而实现数码管的数字显示。例如当第一个拨码接通时,此时输入信号为8'b对应的数码管的输出信号为out=8'b,此时相当于数码管a,b,c,d,e,f,g亮,7段数码管全部显示,显示的数字为8。 程序代码 module bomakaiguan(out,key_in,clk); assign p='b1111; output[7:0] out=8'b; input[7:0] key_in; input clk; reg[7:0] out; always @(posedge clk) begin case(key_in) 8'b: out=8'b;

8位数码管动态显示电路设计.

电子课程设计 — 8位数码管动态显示电路设计 学院:电子信息工程学院 专业、班级: 姓名: 学号: 指导老师: 2014年12月

目录 一、设计任务与要求 (3) 二、总体框图 (3) 三、选择器件 (3) 四、功能模块 (9) 五、总体设计电路图 (10) 六、心得体会 (12)

8位数码管动态显示电路设计 一、设计任务与要求 1. 设计个8位数码管动态显示电路,动态显示1、2、3、4、5、6、7、8。 2. 要求在某一时刻,仅有一个LED 数码管发光。 3. 该数码管发光一段时间后,下一个LED 发光,这样8只数码管循环发光。 4. 当循环扫描速度足够快时,由于视觉暂留的原因,就会感觉8只数码管是在持续发光。 5、研究循环地址码发生器的时钟频率和显示闪烁的关系。 二、总体框图 设计的总体框图如图2-1所示。 图2-1总体框图 三、选择器件 1、数码管 数码管是一种由发光二极管组成的断码型显示器件,如图1所示。 U13 DCD_HEX 图1 数码管 数码管里有八个小LED 发光二极管,通过控制不同的LED 的亮灭来显示出 不同的字形。数码管又分为共阴极和共阳极两种类型,其实共阴极就是将八个 74LS161计数器 74LS138译码 器 数码管

LED的阴极连在一起,让其接地,这样给任何一个LED的另一端高电平,它便能点亮。而共阳极就是将八个LED的阳极连在一起。 2、非门 非门又称为反相器,是实现逻辑非运算的逻辑电路。非门有输入和输出两个端,电路符号如图2所示,其输出端的圆圈代表反相的意思,当其输入端为高电平时输出端为低电平,当其输入端为低电平时输出端为高电平。也就是说,输入端和输出端的电平状态总是反相的。其真值表如表1所示。 图2 非门 表1 真值表 输入输出 A Y 0 1 1 0 3、5V电源 5V VCC电源如图3所示。 图3 5V电源

实验3 数码管扫描显示电路(1)

实验三数码管扫描显示电路 一、实验目的 1、掌握数码管动态扫描显示数据的原理; 2、掌握利用EDA软件和VHDL语言设计较复杂时序逻辑电路的方法; 二、实验原理 常用的显示器件有发光二极管、数码管、液晶显示器等,其中最常用的是数码管。数码管显示数据有两种方式:静态显示方式和动态(扫描)显示方式。 所谓静态显示方式,就是将被显示的数据的BCD码过各自的4—7/8段译显示译码器译码后,分别接到显示译码器的显示驱动端a~g/p,而公共端COM则根据数据管的类型(共阴极/共阳极)分别接到GND/VCC。静态显示的优点是控制简单,有几个数码管就用几个译码器,不必修改程序,十分简便。但当系统所需的数码管较多时,这种方法既耗资源,又占用较多的I/O口,N个数码管需要占用7N个引脚(若需要显示小数点,则是8N个引脚)。因此,该接法适合于系统中数码管数量不多的应用场合。 所谓动态显示方式,就是采用分时的方法,使各个数码管逐个轮流受控显示。在轮流点亮扫描过程中,每个数码管的点亮时间是极为短暂的(约1ms),但由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各个数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。在扫描显示方式中,所有数码管的8个笔划段a-h同名端连在一起,所有数码管接收到相同的字形码,但究竟是那个显示器亮,取决于COM端。扫描显示的优点在于消耗的系统资源少,占用的I/O口少,N个数码管只需(7+N)个引脚((若需要显示小数点,则是8+N个引脚)。其缺点是控制起来不如静态显示方便。 下图3.1 给出了8个数码管动态扫描显示的接口电路图。如果显示器采用共阴极数码管时,则 图5.1 数码管动态扫描显示接口电路图

四外连体式数码管控制电路设计

中北大学 课程设计说明书 学生姓名:唐三龙学号:0805014150 学院:信息与通信工程学院 专业:电子信息科学与技术 题目:四位连体式数码管控制电路设计 指导教师:程耀瑜职称: 教授 指导教师:李文强职称: 讲师 20011 年 1 月 7 日

中北大学 课程设计任务书 2010/2011学年第一学期 学院:信息与通信工程学院 专业:电子信息科学与技术 学生姓名:唐三龙学号:0805014150 课程设计题目:四位连体式数码管控制电路设计起迄日期:12月26日~1月7日 课程设计地点:中北大学 指导教师:程耀瑜,李文强 系主任:程耀瑜

课程设计任务书

课程设计任务书

目录 1概述……………………………………………………………………………….p1 2系统总体设计…………………………………………………………………….p2 3系统模块图………………………………………………………………………. p2 4系统功能介绍……………………………………………………………………. p2 5电路总体设计…………………………………………………………………….p2 6芯片选择………………………………………………………………………….p3 6.1 74161十六进制译码器…………………………………………………….p3 6.2 7448 7段译码器…………………………………………………………….p4 6.3 138 三八译码器…………………………………………………………….p5 6.4 四位连体式数码管………………………………………………………….p6 7输入端模块选择………………………………………………………………….p7 8数码管显示模块………………………………………………………………….p8 8.1 数码管选择控制电路……………………………………………………….p8 8.2 数码管显示电路控制电路………………………………………………….p8 9 仿真结果……………………………………………………………………….p9 10 protel软件中电路设计图…………………………………………………….p10 11 课程设计感想……………………………………………………………….p11 12 参考文献…………………………………………………………………….p12

EDA课程设计八位数码管扫描显示电路的设计资料

《EDA技术及应用》 课程设计报告 题目:八位数码管扫描显示电路的设计院(系):机电与自动化学院 专业班级:电气自动化技术1001 学生姓名: 学号: 20102822018 指导教师:何为 2012年6月10日至2012年6月23日

《EDA技术及应用》课程设计任务书 一、设计题目 八位数码管扫描显示电路的设计 二、设计主要内容 本课题要求掌握使用Quartus II设计数字系统的设计思路和设计方法。学习VHDL基本逻辑电路的综合设计应用。掌握VHDL语言的语法规范,掌握时序电路描述方法。掌握多个数码管动态扫描显示的原理及设计方法。 设计一个八位数码管共阴极动态扫描显示控制电路,要求显示学生自己的学号。利用实验室设备完成系统设计并进行运行调试。 1、具体设计内容如下: (1)静止显示学号; (2)动态循环显示学号。 2、提供设计报告,报告要求包括以下内容:设计思路、设计输入文件、设计与调试过程、模拟仿真结果和设计结论。 三、原始资料 1、LED显示模块原理 LED有段码和位码之分,所谓段码就是让LED显示出“8.”的八位数据,一般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的8位段码。位码也就是LED的显示使能端,对于共阳级的LED而言,高电平使能。要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。虽然8个LED是依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。 多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。 2、系统结构图信号名与芯片引脚对照表

拨码开关选择屏幕说明

拨码开关选择屏幕说明 (ON 是0 , OFF 是 1) 1 2 3 4 Panel 1 1 1 1 STD_1366_768_Voltage① 1 1 1 STD_1366_768_PWM② 1 0 1 1 STD_1920_1080_8Bit_Voltage③ 0 1 1 STD_1920_1080_8Bit_PWM④ 1 1 0 1 STD_1920_1080_10Bit_Voltage⑤ 1 0 1 STD_1920_1080_10Bit_PWM⑥ 1 0 0 1 TBD 0 0 1 TBD 1 1 1 0 TBD 1 1 0 TBD 1 0 1 0 TBD 0 1 0 TBD 1 1 0 0 TBD

1 0 0 TBD 1 0 0 0 TBD 0 0 0 0 TBD ① STD_1366_768_Voltage 表示我们支持标准的1366X768分辨率的屏,不分具体的三星的还是LG,或者其他品牌的,只要是分辨率1366X768的都支持,并且是通过电压控制背光亮度的. ② STD_1366_768_PWM 表示我们支持标准的1366X768分辨率的屏,不分具体的三星的还是LG,或者其他品牌的,只要是分辨率1366X768的都支持,并且是通过PWM 控制背光亮度的. ③ STD_1920_1080_8Bit_Voltage 表示我们支持标准的1920X1080分辨率的屏,并且输出是 8BIT 的 RGB 数据,不分具体的三星的还是 LG,或者其他品牌的,只要是分辨率 1920X1080的都支持,并且是通过电压控制背光亮度的. ④ STD_1920_1080_8Bit_PWM 表示我们支持标准的1920X1080分辨率的屏, 并且输出是8BIT 的RGB 数据,不分具体的三星的还是LG,或者其他品牌的,只要是分辨率1920X1080的都支持,并且是通过PWM 控制背光亮度的. ⑤ STD_1920_1080_10Bit_Voltage 表示我们支持标准的1920X1080分辨率的屏, 并且输出是10BIT 的RGB 数据,不分具体的三星的还是LG,或者其他品牌的,只要是分辨率1920X1080的都支持,并且是通过电压控制背光亮度的. ⑥ STD_1920_1080_10Bit_PWM 表示我们支持标准的1920X1080分辨率的屏, 并且输出是10BIT 的RGB 数据,不分具体的三星的还是LG,或者其他品牌的,只要是分辨率1920X1080的都支持,并且是通过PWM 控制背光亮度的. ⑦ TBD 的都是预留给以后其他分辨率的屏.

开关控制二极管亮灭+数码管

开关控制二极管亮灭 P1.5=1 P2.1=1 P1.5=0 P2.1=0 START: JB P1.5 , LOOP ;判断开关是断开还是闭合,条件是:P1.5是不是 等于1 CLR P2.1 ;闭合 LJMP START LOOP: SETB P2.1 ;断开 LJMP START END

START: JB P1.5 , LOOP ;判断开关是断开还是闭合,条件是:P1.5是不是 等于1 CLR P2.1 ;闭合 SETB P2.2 LJMP START LOOP: SETB P2.1 CLR P2.2 LJMP START END

START: JB P1.5 , LOOP CLR P2.1 JB P1.6 ,LOOP1 CLR P2.2 LJMP START LOOP: SETB P2.1 JB P1.6 ,LOOP1 CLR P2.2 LJMP START LOOP1:SETB P2.2 LJMP START END

Start: Jb p1.5, dianqi Clr p2.1 Loop1: Djnz r0 ,loop1 Djnz r1 ,loop1 Setb p2.1 Loop2: Djnz r0 ,loop2 Djnz r1 ,loop2 Ljmp start Dianqi: Setb p2.1 Ljmp start end

2.标注端口 4.

START: JNB P0.0 , BAOJING JB P0.1 , BAOJING SETB P1.0 SETB P1.1 clr P2.0 LJMP START BAOJING: SETB P1.0 CLR P1.1 Clr P2.0 LOOP: DJNZ R0, LOOP DJNZ R1,LOOP CLR P1.0 SETB P1.1 SETB P2.0 LOOP1: DJNZ R0, LOOP1 DJNZ R1,LOOP1 LJMP start END P1.0 P0.0 P0.1 P1.0 P1.1 P2.0

数码管动态显示数字

/***********************用译码器*******************************************/ #include #define GPIO_DIG P0 sbit LSA=P2^0; sbit LSB=P2^1; sbit LSC=P2^2; unsigned char code DIG_CODE[17]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f, 0x77,0x7c,0x39,0x5e,0x79,0x71};//共阴极数码管字型码0~F void Delay10ms(unsigned int c) //误差0us { unsigned char a, b; for (;c>0;c--) { for (b=38;b>0;b--) { for (a=130;a>0;a--); } } } void main() { unsigned char i=0; P2=0x00; //位显示 while(1) { GPIO_DIG=DIG_CODE[i++]; //段显示 Delay10ms(100); //55us GPIO_DIG=0x00;//消隐 P2=(P2+1)%8; if(i==8) i=0; } } /*******************不用译码器****************************************/ #include //--定义使用的IO口--// #define GPIO_DIG P0 //段选 #define GPIO_PLACE P1 //位选 //--定义全局变量--// unsigned char code DIG_PLACE[8] = {

数码管显示控制电路

实验六数码管显示控制电路 一、实验目的 1、设计一个逻辑电路,使数字显示译码器依次显示0123403034; 2、掌握74LS00 74LS90芯片的综合应用。 3、熟悉常用中规模计数器的逻辑功能。 4、掌握二进制计数器和十进制计数器的工作原理及使用方法。 5、学会利用计数器进行不规则数列的输出。 6、掌握由真值表作卡诺图并列些函数表达式的方法步骤。 二、实验设备 (1)数字电路实验箱 (2)数字双踪示波器 (3)74LS00,74LS90 (4)导线若干 三、实验原理 首先,我们知道74LS90可以输出8421BCD码和5421BCD码,要使得数字显示所要求的顺序,相对应74LS47的输入可以通过对以上两种码中的一种进行变换得到。由于实验设备的限制,我们只能实现由5421BCD码到8421BCD码的转换。接着,我们列出了8421BCD 码,5421BCD码以及要求序列对应的译码器的输入,进行比较:

根据题目要求,目标显示如下: 四、实验内容 实现0123403034计数 五:实验方法及结果 实验方法: 由实验原理中的三个表格,通过卡诺图化简如下:(1)对F8,由图(2)可知只需接地就可以;(2)对F4,有图(1)可知F4=Q3; (3)对F2,卡若图如下:

可得, 20102F Q Q Q Q ?= (4)1F1Q = 实验结果: 综合上述分析,实现该功能的逻辑电路图如下图: ★ U4按照0123403034的顺序依次进行计数,实验结果与预期结果完全一致。 七.心得体会 这次实验综合性较强,主要考察了我们从实际问题中抽象出逻辑函数的能力。在逻辑函数化简中,利用无关项来简化结果使得逻辑函数更为简单,电路更易搭建。 本次实验,通过对计数器工作过程的探索,基本上了解了计数器的工作原理,以及74LS90的数字特点,让我更进一步掌握了如何做好数字电路实验,也让我认识到自身理论

LED数码管动态扫描显示实验

单片机实验五LED数码管动态扫描显示实验 一.实验目的 掌握LED数码管动态扫描显示的原理和编程实现方法。 二.实验原理 LED数码管动态扫描显示即各数码管循环轮流显示,当循环显示频率较高时,利用人眼的暂留特性,看不出闪烁现象,这种显示需要一个接口完成字形码的输出(段码),另一接口完成各数码管的点亮(位选)。 三.实验内容及要求 1.对于显示的字形码数据此实验采用查表的方法来完成。 2.此实验要求是在八个数码管中显示学生的班级号(如11040601)或日历年月日(如2014 05 20)。 四.实验电路 图中,SEG1为八个封装在一起的共阴数码管,RP1为排阻,其余同实验三,导线以总线形式完成。 五.实验步骤 1.在KEIL4中编写、调试、编译程序。 2.在PRTUSE中设计电路,加载HEX文件运行。 3.(1)将单片机实验箱通过USB口与PC机连接; (2)用杜邦线(8根线)将实验箱上的JP8与J16连接(去掉原J15和J16之间的短路跳线帽),JP10与J12连接。 (3)打开实验箱电源开关POWER;

(4)打开STC自动下载器,将步骤1中创建的*.HEX文件下载到单片机,完成后观测LED数码管显示内容。 六.实验参考程序 (请同学自己编写实验程序) 七.思考题 1.某同学在实验时数码管闪烁,可能的原因是什么? 2.为节省I/O口,可采用7段译码器(比如CD4511,74LS 等)和3-8译码器74LS138,如何连接电路并编程。 LDE数码管动态试验 ORG 0000H AJMP MAIN ORG 0100H MAIN: SP,#60H MOV 30H,#02H MOV 31H,#00H MOV 32H,#01H MOV 33H,#04H MOV 34H,#00H MOV 35H,#05H MOV 36H,#02H MOV 37H,#00H START:MOV R0,#30H MOV R3,#0FEH NEXT: MOV P1,#0FFH MOV A,@R0 MOV DPTR,#TAB MOVC A,@A+DPTR MOV P0,A MOV P1,R3 LCALL DLY2MS INC R0 JNB P1.7,STRAT MOV A,R3 RL A MOV R3,A AJMP NEXT DLY2MS:MOV R6,#2 DL2: MOV R7,#250 DL1:NOP

单片机实验四 I O显示控制实验(数码管显示实验)

电子信息工程学系实验报告 课程名称:单片微型计算机与接口技术Array 实验项目名称:实验四 I/O显示控制实验实验时间: 班级: **** 姓名:**** 学号:******** 一、实验目的: 1、熟悉keil仿真软件、proteus仿真软件、软件仿真板的使用。 2、了解并熟悉一位数码管与多位LED数码管的电路结构、与单片机的连接方法及其应用原理。 3、学习proteus构建LED数码管显示电路的方法,掌握C51中单片机控制LED数码管动态显示的原理与编程方法。 二、实验环境: 1、Windows XP系统; 2、Keil uVision2、proteus系列仿真调试软件 三、实验原理: 1、LED数码管的结构和原理 LED显示器是由发光二极管显示字段的显示器件。在单片机应用系统中通常使用的是七段LED,这种显示器有共阴极与共阳极两种。 (a)共阴极LED显示器的发光二极管阴极共地,当某个发光二极管的阳极为高电平时,该发光二极管则点亮; (b)共阳极LED显示器的发光二极管阳极并接。 2、七段显示器与单片机接口:只要将一个8位并行输出口与显示器的发光二极管引脚相连即可。8位并行输出口输出不同的字节数据即可获得不同的数字或字符,如下表所示。通常将控制发光二极管的8位字节数据称为段选码。 八段选码(显示码)的推导(以共阳数码管显示C为例): 要显示C则a、f、e、d四个灯亮2.为是共阳数码管,则a、f、e、d应送0时亮3.dp-a为11000110B 3、多位数码管的显示:电路结构、动态静态两种实现原理: LED显示器有静态显示与动态显示两种方式。 (1) LED静态显示方式 各位LED的位选线连在一起接地或接+5V;每位LED的段选线(a-dp)各与一个八位并行口相连; 在同一时间里每一位显示的字符可以各不相同。

数码管显示控制电路设计

实验五数码管显示控制电路设计 一、设计任务与要求 能自动循环显示数字0、1、2、3、4、1、3、0、2、4 二、实验器材 74LS00 2片74LS10 1片74LS90 1片 74LS48 1片数码管等等 三、实验原理 数码管显示控制电路原理框图如下: 四、实验内容 利用所给器材,设计电路,使其能自动循环显示数字0、1、2、3、4、1、3、0、2、4。 分析可知,可以利用5421BCD码来实现。下面是要用到的真值转换表(左边是5421BCD码,右边是要输出的数字编码): QA QD QC QB D C B A

由真值表可知: D = 0 C = QD B 的卡诺图 A 的卡诺图 由卡诺图可以写出数学表达式: 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 1 0 1 1 0 0 1 0 1 1 1 0 0 0 0 0 X X 1 1 X X 1 1 X X 0 0 0 1 1 X X 1 1 X X 0 0 X X QAQD QCQB 00 01 11 10 QAQD QCQB 00 01 11 10

QC QA QAQB QC QA QAQB B ?=+= QB QA QC QD QA QB QA QC QD QA A ?=+= 根据数学表达式可作出电路连接图如下: 经软件模拟可以实现0、1、2、3、4、1、3、0、2、4的循环显示。

开关控制数码管的VHDL程序的设计与实现

开关控制数码管的VHDL程序的设计与实现 摘要本设计是利用所学过的电子线路课程知识,利用Quartus II软件,结合所学知识设计一个,具有使用开关控制数码管功能。文章分析了整个电路的工作原理,还说明了各程序模块的功能,并对最终结果就行了总结。通过此次设计加深了对课程的理解,掌握了一些基本逻辑器件的功能和使用方法。本设计通过软件设计电路,方便快捷,避免了硬件布线的繁琐,提高了效率。 关键词开关;数码管; VHDL程序 Abstract Th is design is to use the learned electronic circuit course knowledge, use Quartus II software, combined with the design of a knowledge, which has the function of digital switch control tube. This paper analyzes the whole electric circuit principle of work, also that the apps modules of the system, and the final results will do summary. Through this design deepened to the understanding of the course and master the basic logic devices of some function and use. This design convenient and quick, avoid the hardware wiring trival, improve efficiency through the software design circuit. Keyword Switch;Digital tube;VHDL program 1 前言 VHDL是一种应用广泛的硬件描述语言,设计者可以通过它编写代码,通过模拟器仿真验证其功能,完成逻辑综合与逻辑优化,最后通过下载到相应的可编程逻辑器件(如FPGA)中来实现设计。本设计是利用Quartus II软件,采用VHDL语言设计一个用8个开关对应8个数字显示。按sw0到sw7可以显示1到8的数值,并在选择开关时发出声响。完成后下载到实验箱,实现设计功能。 1.1 Quartus II简介 Max+plus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Max+plus II 的更新支持。Quartus II 是Altera公司继Max+plus II之后开发的一种针对其公司生产的系列CPLD/PGFA器件的综合性开发软件,它的版本不断升级,从4.0版到10.0版,该软件有如下几个显著的特点: 1、Quartus II 的优点 该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的EDA工具软件。该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 2、Quartus II对器件的支持

数码管显示变化数字

/*----------------------------------------------- 名称:单个共阳数码管动态显示变化数字 内容:通过循环赋值给P1,让数码管显示特定的字符或者数字 ------------------------------------------------*/ #include //包含头文件,一般情况不需要改动,头文件包含特殊功能寄存器的定义 unsigned char code dofly_table[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,}; // 显示数值表0-9 void Delay(unsigned int t); //函数声明 /*------------------------------------------------ 主函数 ------------------------------------------------*/ void main (void) { unsigned char i; //定义一个无符号字符型局部变量i 取值范围0~255 while (1) //主循环 { for(i=0;i<10;i++) //加入for循环,表明for循环大括号中的程序循环执行10次 { P1=dofly_table[i]; //循环调用表中的数值 Delay(60000); //延时,方便观看数字变化 } //主循环中添加其他需要一直工作的程序 } }/*------------------------------------------------ 延时函数,含有输入参数unsigned int t,无返回值 unsigned int 是定义无符号整形变量,其值的范围是 0~65535 ------------------------------------------------*/ void Delay(unsigned int t) { while(--t); }

4位拨动开关控制数码管显示系统设计

课程设计说明书 课程名称:《单片机技术》 设计题目:4位拨动开关控制数码管显示系统设计院(部):电子信息与电气工程学院 学生姓名: 学号:2010020400 专业班级:2010级电气工程及其自动化 指导教师:申庆超 2013年 5 月17 日

课程设计任务书

4位DIP开关控制数码管显示系统设计 摘要:以单片机AT89S52芯片为核心,用7805、桥堆、拨动开关等器件设计一个控制电路,实现由4位拨动开关控制共阳极数码管显示系统的设计。电路由电源模块、复位模块、时钟模块、显示模块等。它由5V直流电源供电,用拨动开关的低四位为输入,控制输出端数码管显示器的输出。用编程语言编写程序,系统能够实现如下功能:上电后数码管默认显示为“8”,调整4位拨动开关按二进制输入,按确定键后数码管显示对应的数字或字母“0”-“F”。 关键词:4位拨动开关;单片机;共阳极数码管;编程语言

目录 1. 设计背景 (1) 1.1单片机设计背景 (1) 1.2设计目的 (1) 2.设计方案 (2) 2.1方案一 (2) 2.2方案二 (2) 2.3方案三 (3) 3.方案实施 (3) 3.1系统组成框图 (4) 3.2输入输出电路设计 (4) 3.3时钟电路与复位电路设计 (5) 3.4电源电路设计 (6) 3.5程序设计 (6) 3.6仿真结果 (7) 4.结果与结论 (9) 4.1结果 (9) 4.2结论 (9) 5. 收获与致谢 (10) 6. 参考文献 (10) 7.附件 (11)

1. 设计背景 1.1单片机设计背景 目前单片机渗透到我们生活的各个领域。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械了。因此,单片机的学习、开发与应用将造就一批计算机应用与智能化控制的科学家、工程师。 1.2设计目的 在理论学习的基础上,通过完成一个单片机多种资源应用并具有综合功能的小系统目标板的设计与编程应用,能够增强我们理论联系实际的能力,进一步熟练相关专业基础知识的综合应用,提高实际动手能力和设计能力。对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到全面的锻炼和提高。

实验七、数码管动态扫描显示实验

实验七 数码管动态扫描显示实验 姓名:丁亚芳 专业:通信工程 学号:2011412435 成绩: 一、实验目的 1.掌握keil C51软件与protues 软件联合仿真调试的方法; 2.掌握单片机对数码管的动态显示控制方式; 3.掌握定时器的基本应用及编程方法。 二、实验内容 1.用Proteus 设计一8位数码管动态扫描显示电路。要求利用P0口作数码管的段选线,P1.0~P1.2与74LS138译码器的3个输入端相连,其译码输出Y0~Y7作为数码管的位选线。 2.编写程序,将数字1~8分别显示在8个数码管上,要求显示无闪烁。 3.编写程序,利用Proteus 中的“激励源/DCLOCK/数字类型/时钟”产生频率为1HZ 的方波输出,并利用定时/计数器T1统计脉冲的个数,将统计结果动态实时的显示在数码管上。该脉冲计数电路的基础上自行修改。 三、实验原理及步骤 1.用Proteus 设计数码管动态扫描显示电路; 2.在Keil C51中编写键盘识别程序,编译通过后,与Proteus 联合调试; 3.启动仿真,观察数码管显示是否正确; 4.用Proteus 设计脉冲计数电路,仿真调试、运行程序并查看效果; 5.提高时钟频率(如100KHZ ),观察显示情况。 四、电路设计及调试 (1)动态数码管显示电路设计 P1.0P1.1P1.2 P1.0P1.1P1.2 XTAL2 18 XTAL1 19 ALE 30EA 31 PSEN 29RST 9 P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78 P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD 17 P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1 AT89C51 X1 CRYSTAL FREQ=12MHz C1 22pF C2 22pF R1 800 C3 20uF +5v +5v A 1 B 2C 3 E16E24E35 Y015Y114Y213Y312Y411Y510Y69Y7 7 U2 74LS138 23456789 1RP1 RESPACK-8 +5v +5v

相关文档
最新文档