电子技术习题解答第章触发器和时序逻辑电路及其应用习题解答

第8章 触发器和时序逻辑电路及其应用习题解答

8.1 已知基本RS 触发器的两输入端D S 和D R 的波形如图8-33所示,试画出当基本RS 触发器初始状态分别为0和1两种情况下,输出端Q的波形图。

图8-33 习题8.1图

解:根据基本RS 触发器的真值表可得:初始状态为0和1两种情况下,Q的输出波形分别如下图所示:

习题8.1输出端Q的波形图

8.2 已知同步RS 触发器的初态为0,当S 、R 和CP 的波形如图8-34所示时,试画出输出端Q的波形图。

图8-34 题8.2图

解:根据同步RS 触发器的真值表可得:初始状态为0时,Q的输出波形分别如下图所示:

习题8.2输出端Q的波形图

8.3 已知主从JK触发器的输入端CP、J和K的波形如图8-35所示,试画出触发器初始状态分别为0时,输出端Q的波形图。

图8-35 习题8.3图

解:根据主从JK触发器的真值表可得:初始状态为0情况下,Q的输出波形分别如下图所示:

习题8.3输出端Q的波形图

8.4 已知各触发器和它的输入脉冲CP的波形如图8-36所示,当各触发器初始状态均为1时,试画出各触发器输出Q端和Q端的波形。

图8-36 习题8.4图

解:根据逻辑图及触发器的真值表或特性方程,且将驱动方程代入特性方程可得状态方程。即:(a )J =K =1;Qn +

1=n Q,上升沿触发 (b)J =K =1;Qn +1=n Q, 下降沿触发 (c)K =0,J =1;Qn +

1=J n Q+K Qn =1,上升沿触发 (d)K =1,J =n Q;Qn +

1=J n Q+K Qn =n Qn Q+0·Qn =n Q,上升沿触发 (e)K =Qn ,J =n Q;Qn +

1=J n Q+K Qn =n Qn Q+0=n Q,上升沿触发 (f)K =Qn ,J =n Q;Qn +

1=J n Q+K Qn =n Qn Q+0=n Q,下降沿触发, 再根据边沿触发器的触发翻转时刻,可得当初始状态为1时,各个电路输出端Q的波形分别如图(a )、(b )、(c )、(d )、(e )和(f )所示,其中具有计数功能的是:(a )、(b )、(d )、(e )和(f )。各个电路输出端Q的波形与相应的输出端Q的波形相反。

习题8.4各个电路输出端Q的波形图

8.5 已知如图8-37所示的主从JK触发器和它的输入端CP的波形图,当各触发器的初始状态均为1时,试画出输出端Q1和Q2的波形图?若时钟脉冲C的频率为200Hz,试问输出端Q1和Q2波形的频率各为多少?

图8-37 习题8.5图

解:根据逻辑图可得驱动方程,即:J1=K1=1;J2=K2=1。根据JK触发器的翻转条件、驱动方程和真值表,可直接画出当初始状态为1时,Q1和Q2的输出波形分别如图所示。从波形图可看出,Q1的周期为C的两倍,Q2的周期为Q1的两倍,若C的频率为200Hz,则Q1的频率为100Hz,Q2的频率为50Hz。

习题8.5电路输出端Q1和Q2的波形图

8.6 逻辑电路图如图8-38(a)所示,输入信号CP、A和B的波形图如图8-38(b)所示的,设触发器的初始状态为Q=0。试写出它的特性方程,并画出输出Q端的波形。

(a)(b)

图8-38 习题8.6图

Q+KQn。

解:根据逻辑图可得驱动方程,即:J=K=A B。特性方程为:Qn+1=J n

当初始状态为0时,Q的输出波形如图所示。

习题8.6电路输出端Q的波形图

8.7 已知维持阻塞D触发器波形的输入CP和D的波形图如图8-39所示,设触发器的初始状态为Q=0。试画出输出端Q和Q的波形。

图8-39 习题8.7图

解:根据D触发器的翻转条件和真值表,可直接画出当初始状态为0时,输出端Q和Q 的波形分别如图所示。

习题8.7电路输出端Q和Q的波形图

8.8 如图8-40(a)所示,F1是D触发器,F2是JK触发器,CP和A的波形如图8-40(b)所示,设各触发器的初始状态为Q=0。试画出输出端Q1和Q2的波形。

(a)(b)

图8-40 习题8.8图

解:根据逻辑图可得驱动方程,即:D=A;J=K=Q1。根据D、JK触发器的翻转条件、驱动方程和真值表,可直接画出当初始状态为0时,Q1和Q2的输出波形分别如图所示。

习题8.8电路输出端Q1和Q2的波形图

8.9 分析如图8-41所示电路的逻辑功能,设各触发器的初始状态为Q=0。写出电路的输出方程方程和画出时序图。

图8-41 习题8.9图

解:(1)根据逻辑图列写输出方程:CO =0n Q2n

根据逻辑图列写各个触发器的驱动方程:

J 0=K 0=1;J 1=0n Q2n Q、K 1=0n Q;J 2=0n Q1n Q 、K 2=0n Q。 将驱动方程代入特性方程可得状态方程:

10n +Q=0n Q,11n +Q=0n Q1n Q2n Q+

0n Q1n Q,12n +Q=0n Q1n Q2n Q+0n Q2n Q (2)将2n Q1n Q0n

Q所有初态的组合代入状态方程进行状态计算,并编制状态转换表如表所示。

(3):由状态转换表可直接画出时序图如图所示。从上述分析可知,其逻辑功能为同步五进制加法计数器。

习题8.9的状态转换表 习题8.9的时序图 8.10 分析如图8-42所示电路的逻辑功能,设各触发器的初始状态为Q=0。画出时序

图。

图8-42 习题8.10图

解:(1)从逻辑图列各个触发器驱动方程:D 0=0n Q2n Q;D 1=1n Q;D 2=Q0Q1。

(2)根据D 触发器的翻转条件、驱动方程和真值表,可直接画出时序图如图所示,由时序图可编制状态转换表如表所示。从上述分析可知,其逻辑功能为异步五进制加法计数器。

习题8.10的时序图 习题8.10的状态转换表 8.11 分析如图8-43所示电路的逻辑功能,设各触发器的初始状态为Q=0。写出电路的输出方程和画出时序图。

图8-43 题8.11图

解:(1)根据逻辑图列写各个触发器的驱动方程:

J 0=2n Q、K 0=1;J 1=K 1=1;J 2=0n Q1n

Q 、K 2=1。

将驱动方程代入特性方程可得状态方程:

10n +Q=0n Q2n Q,11n +Q=1n Q,12n +Q=0n Q1n Q2n Q (2)将2n Q1n Q0n

Q所有初态的组合代入状态方程可直接画出时序图如图所示。从上述分析可知,其逻辑功能为异步八进制加法计数器。

习题8.11的时序图

8.12 试用边沿JK 触发器设计一个同步五进制加法计数器。

解:习题8.9的逻辑图即为同步五进制加法计数器。

8.13 试用边沿D 触发器设计一个同步十进制计数器。

解:根据D 触发器的逻辑功能和同步十进制计数器的工作原理,用边沿D 触发器设计的同步十进制计数器逻辑电路图如图所示。至于其工作原理读者可自行分析。

习题8.13的逻辑电路图

8.14试分别用以下集成计数器设计十二进制计数器。

(1)利用CT74LS161的异步清零功能。

(2)利用CT74LS161和CT74LS163的同步置数功能。

(3)利用CT74LS290的异步清零功能。

解:(1)利用计数器CT74LS161的异步清零功能。假设CT74LS161的并行输入数据端均接入0000码,即D 3D 2D 1D 0=0000,相当于十进制数的0。因为要构成十二进制计数器,所以N =12,若反馈数码的十进制数用M 表示,则M =N +0=12,即反馈数码为1100。所以,我们采用与非门译码且经化简后可得D R =32QQ,且同时令LD =CT T =CT P =1即可。它的逻辑图如图所示。至于它的工作原理和时序图这里就不多介绍了。

习题8.14(1)的逻辑图

(2)假设CT74LS161的并行输入数据端均接入0001码,即D 3D 2D 1D 0=0001,相当于十进制数的1。因为要构成十二进制计数器,所以N =12,若反馈数码的十进制数用M 表示,则M =N +1-1=12,即反馈数码为1100。所以,我们采用与非门译码且经化简后可得LD =32QQ,且同时令D R =CT T =CT P =1即可。它的逻辑图如图所示。

至于它的工作原理和时序图这里就不多介绍了。

习题8.14(2)的逻辑图

(3)因为N =12,且CT74LS290采用异步置零,所以相应的反馈清零码应为1100。根据CT74LS290型二–五–十进制计数器的逻辑功能可知,我们只要把它的Q3、Q2端分别接在R 0(1)和R 0(2)上,且S 9(1)和S 9(2)同时接地,Q0端接在CP 1上,计数脉冲从CP 0输入即可。它的逻辑图如图所示。至于它的工作原理和时序图这里就不多介绍了。

习题8.14(3)的逻辑图

8.15试分别用以下集成计数器设计二十四进制计数器。

(1)利用CT74LS161的异步清零功能。

(2)利用CT74LS163的同步清零功能。

(3)利用CT74LS161和CT74LS163的同步置数功能。

(4)利用CT74LS290的异步清零功能。

解:(1)因为M =24,所以24

制数为00011000,所以,可令高位片(Ⅱ)的3

210''''Q Q Q Q =0001,低位片(Ⅰ)的Q 3Q 2Q 1Q 0=1000。在输入第24个计数脉冲CP 时,计数器计到24时,计数器的状态为3

210''''Q Q Q Q Q 3Q 2Q 1Q 0

=00011000,其反馈清零函数为D 03R '=Q Q ,这时,与非门输出低电平0,使两片CT74LS163同时被清零,从而实现二十四进制计数。逻辑电路如图所示。

习题8.15(1)的逻辑图

(2)因为M =24,所以24

24-1=23,而23对应的二进制数为00010111,所以,可令高位片(Ⅱ)的3

210''''Q Q Q Q =0001,低位片(Ⅰ)的Q 3Q 2Q 1Q 0=0111。当计数器计到23时,计数器的状态为3

210''''Q Q Q Q Q 3Q 2Q 1Q 0=00010111,其反馈清零函数为D 0

210R '=Q Q Q Q ,这时,与非门输出低电平0,在输入第24个计数脉冲CP 时,使两片CT74LS163同时被清零,从而实现二十四进制计数。电路如图所示。

习题8.15(2)的逻辑图

(3)因为M =24,所以24

成同步置数的条件。它的逻辑图如图所示。

习题8.15(3)的逻辑图

(4)二十四进制计数器由两位组成,个位(Ⅰ)和十位(Ⅱ)都为十进制计数器,计数脉冲连接到个位的C0端,而个位的最高位Q3连接到十位的C0端。它的逻辑图如图所示。

它的工作原理为:低位片(Ⅰ)个位十进制计数器经过十个脉冲循环一次,每当第十个脉冲来到后,它的最高位Q3由1变0,产生一个负脉冲,使高位片(Ⅱ)十位十进制计数器计数。个位十进制计数器经过第一次十个脉冲时,十位十进制计数器计数为0001;个位十进制计数器经过第二次十个脉冲时,十位十进制计数器计数为0010。当经过第23个脉冲时,个位十进制计数器为0011,十位十进制计数器计数为0010,经过第24个脉冲时,个位十进制计数器为0100,十位十进制计数器计数为0010,接着立即清零,使个位十进制计数器和十位十进制计数器计数都为0000,即为二十四进制计数器。

习题8.15(4)的逻辑图

8.16 试用CT74LS290的异步清零功能构成下列计数器。

(1)二十四进制计数器。

(2)六十进制计数器。

(3)七十五进制计数器。

解:(1)与题8.15第(4)相同

(2)六十进制计数器由两位组成,个位(Ⅰ)为十进制计数器,十位(Ⅱ)为六进制计数器,计数脉冲连接到个位的C0端,而个位的最高位Q3连接到十位的C0端。它的逻辑图如图所示。

它的工作原理为:低位片(Ⅰ)个位十进制计数器经过十个脉冲循环一次,每当第十个脉冲来到后,它的最高位Q3由1变0,产生一个负脉冲,使高位片(Ⅱ)十位六进制计数器计数。个位十进制计数器经过第一次十个脉冲时,十位六进制计数器计数为0001;个位十进制计数器经过第二次十个脉冲时,十位六进制计数器计数为0010;依次类推。当经过第59个脉冲时,个位十进制计数器为1001,十位六进制计数器计数为0101,经过第60个脉冲时,个位十进制计数器为0000,十位六进制计数器计数为0110,接着立即清零,使个位十进制计数器和十位六进制计数器计数都为0000,即为六十进制计数器。

习题8.16(2)的逻辑图

(3)七十五进制计数器由两位组成,个位(1)和十位(2)都为十进制计数器,计数脉冲连接到个位的C0端,而个位的最高位Q3连接到十位的C0端。它的逻辑图如图所示。

它的工作原理为:低位片(Ⅰ)个位十进制计数器经过十个脉冲循环一次,每当第十个脉冲来到后,它的最高位Q3由1变0,产生一个负脉冲,使高位片(Ⅱ)十位十进制计数器计数。个位十进制计数器经过第一次十个脉冲时,十位十进制计数器计数为0001;个位十进制计数器经过第二次十个脉冲时,十位十进制计数器计数为0010,依次类推。当经过第74个脉冲时,个位十进制计数器为0100,十位十进制计数器计数为0111,经过第75个脉冲时,个位十进制计数器为0101,十位十进制计数器计数为0111,接着立即清零,使个位十进制计数器和十位十进制计数器计数都为0000,即为七十五进制计数器。

习题8.16(3)的逻辑图

触发器和时序逻辑电路习题答案

第21章 触发器和时序逻辑电路 191、触发器按其工作状态是否稳定可分为( b )。 (a)RS 触发器,JK 触发器,D 触发器,T 触发器; (b)双稳态触发器,单稳态触发器,无稳态触发器; (c)主从型触发器,维持阻塞型触发器。 192、逻辑电路如图所示,当A=“1”时,基本RS 触发器( c )。 (a)置“1”; (b)置“0”; (c)保持原状态。 ≥1A ""1R D Q Q S D 193、 逻辑电路如图所示,分析C ,S ,R 的波形,当初始状态为“0”时,输出Q 是“0”的瞬间为( c )。 (a)1t ; (b)2t ; (c)3t 。 C S R t 1t 2t 3S C R D R S D Q Q 194、 某主从型JK 触发器,当J=K=“1”时,C 端的频率f=200Hz ,则Q 的频率为( c )。 (a)200Hz ; (b)400Hz ; (c)100Hz 。 195、逻辑电路如图所示,当A=“1”时,C 脉冲来到后JK 触发器( a )。 (a)具有计数功能; (b)置“0”; (c)置“1”。 ≥1 A J C R D K S D Q Q "" 1""1 196、 逻辑电路如图所示,A=“0”时,C 脉冲来到后D 触发器( b )。 (a)具有计数器功能; (b)置“0”; (c)置“1”。

D C Q Q & A 197、逻辑电路如图所示,分析C 的波形,当初始状态为“0”时,输出Q 是“0”的瞬间为( a )。 (a) 1t ; (b)2t ; (c)3t 。 D C Q Q C t 1t 2t 3 198、逻辑电路如图所示,它具有( a )。 (a)D 触发器功能; (b)T 触发器功能; (c)T'触发器功能。 J C R D K S D Q Q 1 199、逻辑电路如图所示,它具有( b )。 (a)D 触发器功能; (b)T 触发器功能; (c)T'触发器功能。 J C R D K S D Q Q 200、时序逻辑电路与组合逻辑电路的主要区别是( c )。 (a)时序电路只能计数,而组合电路只能寄存; (b)时序电路没有记忆功能,组合电路则有; (c)时序电路具有记忆功能,组合电路则没有。 201、寄存器与计数器的主要区别是( b )。 (a)寄存器具有记忆功能,而计数器没有; (b)寄存器只能存数,不能计数,计数器不仅能连续计数,也能存数; (c)寄存器只能存数,计数器只能计数,不能存数。 202、移位寄存器与数码寄存器的区别是( a )。 (a)前者具有移位功能,后者则没有; (b)前者不具有移位功能,后者则有; (c)两者都具有移位功能和计数功能。

时序逻辑电路习题解答

5-1 分析图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图(a)所示,其输入波形如图 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

电子技术习题解答第章触发器和时序逻辑电路及其应用习题解答

第8章 触发器和时序逻辑电路及其应用习题解答 8.1 已知基本RS 触发器的两输入端D S 和D R 的波形如图8-33所示,试画出当基本RS 触发器初始状态分别为0和1两种情况下,输出端Q的波形图。 图8-33 习题8.1图 解:根据基本RS 触发器的真值表可得:初始状态为0和1两种情况下,Q的输出波形分别如下图所示: 习题8.1输出端Q的波形图 8.2 已知同步RS 触发器的初态为0,当S 、R 和CP 的波形如图8-34所示时,试画出输出端Q的波形图。 图8-34 题8.2图 解:根据同步RS 触发器的真值表可得:初始状态为0时,Q的输出波形分别如下图所示:

习题8.2输出端Q的波形图 8.3 已知主从JK触发器的输入端CP、J和K的波形如图8-35所示,试画出触发器初始状态分别为0时,输出端Q的波形图。 图8-35 习题8.3图 解:根据主从JK触发器的真值表可得:初始状态为0情况下,Q的输出波形分别如下图所示: 习题8.3输出端Q的波形图 8.4 已知各触发器和它的输入脉冲CP的波形如图8-36所示,当各触发器初始状态均为1时,试画出各触发器输出Q端和Q端的波形。

图8-36 习题8.4图 解:根据逻辑图及触发器的真值表或特性方程,且将驱动方程代入特性方程可得状态方程。即:(a )J =K =1;Qn + 1=n Q,上升沿触发 (b)J =K =1;Qn +1=n Q, 下降沿触发 (c)K =0,J =1;Qn + 1=J n Q+K Qn =1,上升沿触发 (d)K =1,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0·Qn =n Q,上升沿触发 (e)K =Qn ,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0=n Q,上升沿触发 (f)K =Qn ,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0=n Q,下降沿触发, 再根据边沿触发器的触发翻转时刻,可得当初始状态为1时,各个电路输出端Q的波形分别如图(a )、(b )、(c )、(d )、(e )和(f )所示,其中具有计数功能的是:(a )、(b )、(d )、(e )和(f )。各个电路输出端Q的波形与相应的输出端Q的波形相反。 习题8.4各个电路输出端Q的波形图

时序逻辑电路习题集答案

第六章时序逻辑电路 6.1 基本要求 1. 正确理解组合逻辑电路、时序逻辑电路、寄存器、计数器、同步和异步、计数和分 频等概念。 2. 掌握时序逻辑电路的分析方法,包括同步时序逻辑电路和异步时序逻辑电路。 3. 熟悉寄存器的工作原理、逻辑功能和使用。 4. 掌握二进制、十进制计数器的构成原理。能熟练应用集成计数器构成任意进制计数 器。 5. 掌握同步时序逻辑电路的设计方法。 6.2自测题 一、填空题 1.数字电路按照是否有记忆功能通常可分为两类:、。 2.由四位移位寄存器构成的顺序脉冲发生器可产生个顺序脉冲。 3.时序逻辑电路按照其触发器是否有统一的时钟控制分为时序电路和时序电路。 4. 用D触发器来构成12进制计数器,需要个D触发器。 二、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP控制。 2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 A.4 B.5 C.9 D.20 3. N个触发器可以构成最大计数长度(进制数)为的计数器。 A.N B.2N C.N2 D.2N 4. N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 5.五个D触发器构成环形计数器,其计数长度为。 A.5 B.10 C.25 D.32 6.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 7.一位8421BCD码计数器至少需要个触发器。 A.3 B.4 C.5 D.10 8.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用级触发器。 A.2 B.3 C.4 D.8 9.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 10.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。 A.2 B.6 C.7 D.8 E.10 11.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z的脉冲转换为60H Z的脉冲,欲构成此分频器至少需要个触发器。

第6章-时序逻辑电路-课后答案

第六章 时序逻辑电路 【题 6.3】 分析图P6.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 Y 图P6.3 【解】驱动方程: 11323131233 J =K =Q J =K =Q J =Q Q ;K =Q ⎧⎪ ⎨⎪⎩ 输出方程:3Y Q = 将驱动方程带入JK 触发器的特性方程后得到 状态方程为: n+11313131n 1 2121221n+1 3321 Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q +⎧=+=⎪=+=⊕⎨⎪=⎩ 电路能自启动。状态转换图如图A6.3 【题 6.5】 分析图 P6.5时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入逻辑变量。 图A6.3

Y 图P6.5 【解】 驱动方程: 12 21212() D AQ D AQ Q A Q Q ⎧=⎪⎨==+⎪⎩ 输出方程: 21Y AQ Q = 将驱动方程带入JK 触发器的特性方程后得到状态方程为: n+1 12 n+1 212() Q AQ Q A Q Q ⎧=⎪⎨=+⎪⎩ 电路的状态转换图如图A6.5 1 图A6.5 【题 6.6】 分析图P6.6时序电路的逻辑功能,画出电路的状态转换图,检查电路能否自启动,说明电路能否自启动。说明电路实现的功能。A 为输入变量。

A Y 图P6.6 【解】驱动方程: 11221 1 J K J K A Q ==⎧⎨ ==⊕⎩ 输出方程: 1212Y AQ Q AQ Q =+ 将驱动方程带入JK 触发器的特性方程后得到状态方程为: n+111 n+1 212 Q Q Q A Q Q ⎧=⎪⎨=⊕⊕⎪⎩ 电路状态转换图如图A6.6。A =0时作二进制加法计数,A =1时作二进制减法计数。 01图A6.6 【题 6.7】 分析图P6.7时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。

数字电子技术练习题及答案

数字电子技术练习题及答案 一、填空题 1、(238)10=( )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ⋅ ) , B A ⋅=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ⋅+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ⋅ ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。

【电工学期末考试习题】第16章 触发器及其应用 习题参考答案

第16章 触发器及其应用 习题参考答案 一、填空题: 1.时序逻辑电路的特点是:输出不仅取决于当时 输入 的状态还与电路 原来 的状态有关。 2.欲使JK 触发器实现的功能,则输入端J 应接 “1” ,K 应接 “1” 。 3.组合逻辑电路的基本单元是 门电路 ,时序逻辑电路的基本单元是 触发器 。 4.两个与非门构成的基本RS 触发器的功能有 置0 、 置1 和 保持 。电路中不允许两个输入端同时为 0 ,否则将出现逻辑混乱。 5.钟控RS 触发器具有“空翻”现象,且属于 电平 触发方式的触发器;为抑制“空翻”,人们研制出了 边沿 触发方式的JK 触发器和D 触发器。 6.JK 触发器具有 保持 、 翻转 、 置0 和 置1 的功能。 7.D 触发器具有 置0 和 置1 的功能。 二、选择题: 1.描述时序逻辑电路功能的两个重要方程式是( B )。 A 、 状态方程和输出方程 B 、状态方程和驱动方程 C 、 驱动方程和特性方程 D 、驱动方程和输出方程 2.由与非门组成的RS 触发器不允许输入的变量组合为( D )。 A 、00 B 、 01 C 、 10 D 、 11 3. 双稳态触发器的类型有( D ) A 、基本RS 触发器; B 、同步RS 触发器; C 、主从式触发器; D 、前三种都有。 4. 存在空翻问题的触发器是( B ) A 、D 触发器; B 、同步RS 触发器; C 、主从JK 触发器。 三、简述题 1、时序逻辑电路和组合逻辑电路的区别有哪些? 答:主要区别有两点:时序逻辑电路的基本单元是触发器,组合逻辑电路的基本单元是门电路;时序逻辑电路的输出只与现时输入有关,不具有记忆性,组合逻辑电路的输出不仅和现时输入有关,还和现时状态有关,即具有记忆性。 2、何谓“空翻”现象?抑制“空翻”可采取什么措施? 答:在一个时钟脉冲为“1”期间,触发器的输出随输入发生多次变化的现象称为“空翻”。空翻造成触发器工作的不可靠,为抑制空翻,人们研制出了边沿触发方式的主从型JK 触发器和维持阻塞型的D 触发器等等。这些触发器由于只在时钟脉冲边沿到来时发生翻转,从而有效地抑制了空翻现象。 1.写出图示逻辑图中各电路的状态方程。 n n Q Q =+1R S ⋅

电子技术考题大全及答案(完整版)

电子技术考题大全及答案(完整版) 1. 时序逻辑电路的分析方法有()。 A、列写状态方程 B、列写驱动方程 C、列写状态表 D、以上都是 2. 用毫伏表测出电子电路的信号为( ) A、平均值 B、有效值 C、直流值 D、交流值 3. 当74LS138的控制信号为01时,该集成移位寄存器处于( )态。 A、左侈 B、右移 C、保持 D、并行置数 4. 集成译码器7LS138的3个使能端,只要有一个不满足要求,其八个输出为( )。 A、高电平 B、低电平 C、高阻 D、低阻 5. 集成计数器741S192是( )计数器。

A、异步十进制加法 B、同步十进制加法 C、异步十进制减法 D、同步十进制可逆 6. 集成运放电路引脚如插反,会( ),会损坏运放。 A、将电源极性接反 B、输人接反 C、输山接反 D、接地接反 7. 集成译码器的( )状态不对时。译码器无法工作。 A、输入端 B、输出端 C、清零端 D、使能端 8. 由与非门组成的基本RS触发器,当RS为( )时,触发器处于不定状态。 A、00 B、01 C、10 D、ll 9. 时序逻辑电路的输出端取数如有问题会产生( )。 A、时钟脉冲混乱 B、SW无效 C、清零端规

D、计数模错误 10. 晶闸管触发电路所产生的触发脉冲信号必须要( )。 A、有一定的电位 B、有一定的电抗 C、有一定的频率 D、有一定的功率 11. 锯齿波触发电路中的锯齿波是由( )对电容器充电以及快速放电产生的。 A、矩形波电源 B、正弦波电源 C、恒压源 D、恒流源 12. 下列不属于常用稳压电源电子单元电路的功能有( )。 A、输出电压稳定 B、抗干扰能力强 C、具有一定过载能力 D、波形失真小 13. 微分集成运放电路反馈元件采用的是( )元件。 A、电感 B、电阻 C、电容 D、三极管 14. 过零比较器可将输入正弦波变换为( )。 A、三角波

时序逻辑电路课后习题答案

第9章 习题解答 9.1 题9.1图所示电路由D 触发器构成的计数器,试说明其功能,并画出与CP 脉冲对应的各输出端波形。 Q CP 题9.1图 解:(1)写方程 时钟方程:0CP CP =;10CP Q =;21CP Q = 驱动方程:00n D Q =;11n D Q =;22n D Q = 状态方程:0100n n Q D Q CP +==↑;11110n n Q D Q Q +==↑;2122 1n n Q D Q Q +==↑ (2)列状态转换表 (3)画状态转换图 111 210210n n n n n n CP Q Q Q Q Q Q +++0 0 0 0 1 1 11 1 1 1 1 1 02 1 1 0 1 0 13 1 0 1 1 0 04 1 0 0 0 1 15 0 1 1 0 1 06 0 1 0 0 0 17 0 0 1 0 0 0 (4)画波形图 CP 2Q 1Q 0 Q (5)分析功能 该电路为异步三位二进制减法计数器。

9.6 已知题9.6图电路中时钟脉冲CP 的频率为1MHz 。假设触发器初状态均为0,试分析电路的逻辑功能,画出Q 1、Q 2、Q 3的波形图,输出端Z 波形的频率是多少? CP 题9.6图 解:(1)写方程 时钟方程:123CP CP CP CP === 驱动方程:113n n D Q Q =;212n n D Q Q =⊕;312n n D Q Q = 状态方程: 11113n n n Q D Q Q CP +==↑;12212n n n Q D Q Q CP +==⊕↑;13312n n n Q D Q Q CP +==↑ 输出方程:3n Z Q = (2)列状态转换表 (3)画状态转换图 111321321n n n n n n CP Q Q Q Q Q Q Z +++0 0 0 0 0 0 1 01 0 0 1 0 1 0 02 0 1 0 0 1 1 03 0 1 1 1 0 0 04 1 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 0 0 1 0 1 1 1 0 0 1 0 1 (4)画波形图 (5)分析功能 该电路为能够自启动的同步5进制加法计数器。Z 波形的频率为200K. CP 2Q 1 Q 0Q Z

时序逻辑电路试题及答案

时序逻辑电路试题及答案 一、单选题 1.CP有效时,若JK触发器状态由1翻转为0,则此时JK输入端必定有 A、J=0 B、J=1 C、K=0 D、K=1 【正确答案】:D 2.主从RS触发器是在时钟脉冲CP的( ),根据输入信号改变状态。 A、低电平期间 B、高电平期间 C、上升沿时刻 D、下降沿时刻 【正确答案】:D 3.仅具有置0和置1功能的触发器是 A、RS触发器 B、JK触发器 C、D触发器 D、T触发器 【正确答案】:C 4.关于JK触发器的错误表述是

A、对于输入信号没有制约条件 B、不允许JK同时为1 C、允许JK同时为1 D、允许JK同时为0 【正确答案】:B 5.D触发器当D=Q时,实现的逻辑功能是 A、置0 B、置1 C、保持 D、翻转 【正确答案】:C 6.JK触发器有( )触发信号输入端。 A、一个 B、二个 C、三个 D、四个 【正确答案】:B 7.下列哪项表示基本RS触发器的符号A、

B、 C、 D、 【正确答案】:A 8.D触发器在CP脉冲有效的情况下能实现的功能是 A、置0和置1 B、置1和保持 C、置0和保持 D、保持和翻转 【正确答案】:A 9.基本RS触发器是( )。 A、组合逻辑电路 B、单稳态触发器 C、双稳态触发器 D、无稳态触发器

10.双D集成触发器CD4013的时钟脉冲CP的引脚是 A、14脚 B、7脚 C、3脚与11脚 D、5脚与11脚 【正确答案】:C 11.与非型同步RS触发器,CP=1期间,( ),触发器维持原态。 A、R=0,S=0 B、R=0,S=1 C、R=1,S=0 D、R=1,S=1 【正确答案】:A 12.主从JK触发器的初态为0,JK=01时,经过2021个触发脉冲后,其状态变化及输出状态为 A、一直为0 B、由0变为1,然后一直为1 C、在01间翻转,最后为1 D、在01间翻转,最后为0

数字电子技术练习题第6章习题及答案

第6章习题 一、填空题 1.输出不仅取决于当前的输入,而且与有关的电路一定是时序逻辑电路。从电路结构上看,时序逻辑电路必须含有电路。 2.构成时序逻辑电路是由电路和电路两部分组成。时序逻辑电路按触发器时钟脉冲控制端连接方式的不同可分为步时序逻辑电路和步时序逻辑电路两大类。 3.时序逻辑电路中,若输出仅与存储电路的输出状态Q有关,则一定是型时序逻辑电路;如果时序逻辑电路中不仅有存储记忆电路,而且还有逻辑门电路时,构成的电路类型通常称为型时序逻辑电路。 4.计数器的基本功能是和。计数器电路中的在开机时出现,不用人工或其它设备的干预,能够很快自行进入,使码不再出现的能力称为能力。 5.寄存器是用来存放、运算结果或的电路,通常由具有功能的多位触发器组合起来构成。某寄存器由D触发器构成,有4位代码要存储,此寄存器必须有个触发器。 6.当时序逻辑电路的触发器位数为n,电路状态按数的自然态序循环,经历的独立状态为2n个,这时,我们称此类电路为计数器。 7.二进制计数器按计数的加减规律可分为计数器、计数器和计数器。一般地说,模值相同的同步计数器比异步计数器的结构、工作速度。 8. 在计数器中,最常采用的是BCD代码来表示一位十进制数,它表示一位十进制数时,至少要用位触发器才能实现。 9.分析时序逻辑电路时,首先要根据已知的逻辑电路图分别写出相应的方程、 方程和方程,若所分析的电路属于步时序逻辑电路,则还要写出各位触发器的方程。 10.在分频、控制和测量等电路中,计数器应用得非常广泛。构成一个六进制计数器最少要采用位触发器,这时构成的电路有个有效状态,个无效状态。 11.寄存器可分为寄存器和寄存器,集成74LS194属于移位寄存器。移位寄存器除了具有功能外,还具有功能。 12. 用四位移位寄存器构成环行计数器时,有效状态共有个;若构成扭环计数器时,其有效状态是个。 13.在设计时序逻辑电路时,对原始状态表中的状态进行化简的目的是。 14.集成计数器模值是固定的,但可以用法和法来改变它们的模值。 二、判断正误题 1.集成计数器通常都具有自启动能力。() 2.使用3个触发器构成的计数器最多有8个有效状态。() 3.同步时序逻辑电路中各触发器的时钟脉冲控制端不一定相同。() 4.欲把并行数据转换成串行数据,可用脉冲发生器。() 5.用移位寄存器可以构成8421BCD码计数器。() 6.莫尔型时序逻辑电路,分析时通常不用写输出方程。()

电工与电子技术八章九章习题答案)Word版

第8章 时序逻辑电路 本章讨论了数字电路的另一类单元电路——时序逻辑电路。首先介绍具有存储记忆功能的单元电路触发器,它是构成各种计数器和寄存器等时序电路的单元。然后介绍数字系统中常用的一些时序电路的组成和工作原理以及555定时器的应用。 本章基本要求 了解时序逻辑电路的共同特点; 熟练掌握R-S 、J-K 、D 、T 触发器的逻辑功能; 熟练掌握时序电路分析方法,基本的设计方法; 掌握计数器的分类及特点; 了解常用的时序逻辑电路的功能及应用; 了解555定时器的典型应用。 本章习题解析 8-5 在图8-6中,设每个触发器的初始状态为“0”,试画出在时钟脉冲CP 作用下Q 的波形。 解: (a) 图中: ______ ___1 1 1 n n n n Q Q K Q J Q =+=+ (b) 图中: 012=+==n n n Q K Q J Q (c) 图中: ______ ____13 n n n n Q Q K Q J Q =+== (d) 图中: 014==+D Q n (e) 图中:n n Q D Q ==+1 5 (f) 图中:n n n n Q Q K Q J Q =+==16 各触发器的波形图如下图8-7所示: 8-6 电路如图8-8所示,设初始状态为021==Q Q ,,试画出在CP 作用下1Q 、 2Q 的波形。 CP 图8--6 (a) (b) “ “1““1CP 1Q 6 Q 5Q 4Q 3Q 2 Q 图8-7

解:n n n n n n n n n Q Q Q Q Q Q Q K Q J Q 2112121111=⋅+=+=+ n n Q D Q 112==+ 波形图如图8-9所示: 8-7电路如图8-10所示,设初始状态为021==Q Q ,,试画出在CP 作用下1Q 、2Q 的波形。 解:n n Q D Q 1111==+ n n Q D Q 2212==+ 波形图如下图8-11所示: 8-8 图8-12所示为TTL 维持阻塞D 触发器,试分析其逻辑功能,列出真值表,并说明图中①~④连线的作用。 解: 工作原理分析: D R D S 接至基本RS 触发器的输入端,分别是 清零和预置数端,低电平有效。当1==D D R S 时,工作过程如下: ①0=CP 时,触发器状态不变。此时D Q =5, D Q =6 ②CP 由0变1时触发器翻转,D Q = ③1=CP 时输入信号被封馈,触发器保持,其中反馈线①使触发器维持在0状态和阻止触发器为1状态称为置0维持线,置1阻塞线。 反馈线②使0=CP ,D Q =5时,D Q =6 反馈线③使1=CP 时,触发器维持1状态即置1维持线。 图 8-9 CP 2 Q 1 Q 图8-8 CP 图 8-10 图8-11 CP 2 Q 1Q 图8-12

电路基础与集成电子技术-第14章习题解答

第14章 触发器和时序逻辑电路习题解答 【14-1】已知电路如题图14-1(a)所示。按要求回答下列问题: 1.请回答,C =0 、C =1时,该电路分别属于组合电路还是时序电路? 2.分别写出C =0 、C =1时,输出端Q 的表达式; 3.画出在图14-1(b)输入波形作用下,输出Q 的波形。 解: 1.C =0时,该电路属于组合电路;C =1时,是时序电路。 2.C =0时,Q =B A +; C =1时,Q n+1=n n Q B Q B =+ 3.输出Q 的波形如下 A B C Q 解图 14-1 【14-2】已知由与非门构成的基本RS 触发器的直接置“0”端和直接置“1”端的输入波形,如题图14-2所示,试画出触发器Q 端和Q 端的波形。 解: 基本RS 触发器Q 端和Q 端的波形可按真值表确定,要注意的是,当d R 和d S 同时为“0”时,Q 端和Q 端都等于“1”。d R 和d S 同时撤消,即同时变为“1”时,Q 端和Q 端的状态不定。见解图14-2所示,图中Q 端和Q 端的最右侧的虚线表示状态不定。 R d S d Q 不定状态 解图 14-2 【14-3】试分析题图14-3所示电路的输出端波形,设初态为“0”。开关S 是一个微动开关,按下开关的按键,触点将运动到2点,松开按键,触点自动返回1点。如果当触点在2点发生多次抖动,输出波形有何变化? 解: 此电路可以通过按动微动开关从Q 端输出一个脉冲,触点到达2,Q 端输出“1”,触点返回1,Q 端返回“0”。触点在2端发生抖动,因触发器的锁存作用,Q 端输出的 “1” 不会发生变化。 【14-4】试画出题图14-4所示电路的输出端波形,设初态为“0”。 解: 此题是由或非门构成的RS 触发器,工作原理与由与非门构成的基本RS 触发器一样,只不过此电路对输入触发信号是高电平有效。参照题9-2的求解方法,即可画出输出端的波形,见解图14-4。

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

第4-5章--触发器-时序逻辑电路习题答案...

第4章 触发器 4.3 若在图4.5电路中的CP 、S 、R 输入端,加入如图4.27所示波形的信号,试画出其 Q 和Q 端波形,设初态Q =0。 S R CP 图4.27 题4.3图 解:图4.5电路为同步RS 触发器,分析作图如下: S R CP Q 4.5 设图4.28中各触发器的初始状态皆为Q =0,画出在CP 脉冲连续作用下个各触发器输出端的波形图。 Q 1 1J 1C11K CP ● Q 3 >1CP 1T C1 1J C11K CP Q 2● >>1D C1 CP Q 6 1J C11K >● Q 4 CP CP 1S 1R Q 5 C1● ● CP 图4.28 题4.5图 解: Q Q n n 111=+ Q Q n n 212=+ Q Q n n 313=+ Q Q n n 414=+ Q Q n n 515=+ Q Q n n 616=+

Q 1CP Q 2Q 3Q 4Q 5Q 6 4.6 试写出 图4.29(a)中各触发器的次态函数(即Q 1 n+1 、 Q 2 n+1与现态和输入变量之间的函数式),并画出在图4.29(b )给定信号的作用下Q 1 、Q 2的波形。假定各触发器的初始状态均为Q =0。 Q 1 & ≥1 CP A B 1S C11R >CP >1D C1 =1 A B Q 1 Q 2 Q 2 (a) B A (b) 图4.29 题4.6图 解:由图可见: Q B A AB Q n n 111)(++=+ B A Q n ⊕=+1 2 B A Q 2 Q 1 4.7 图4.30(a )、(b )分别示出了触发器和逻辑门构成的脉冲分频电路,CP 脉冲如图4.30(c )所示,设各触发器的初始状态均为0。 (1)试画出图(a )中的Q 1、Q 2和F 的波形。 (2)试画出图(b )中的Q 3、Q 4和Y 的波形。

《时序逻辑电路》练习题及答案 (2)

《时序逻辑电路》练习题及答案 [6.1]分析图P6-1时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 图P6-1 [解] 驱动方程:3 1 1 Q K J= =,状态方程:n n n n n n n Q Q Q Q Q Q Q 1 3 1 3 1 3 1 1 ⊕ = + = + ; 1 2 2 Q K J= =,n n n n n n n Q Q Q Q Q Q Q 1 2 2 1 2 1 1 2 ⊕ = + = + ; 3 3 2 1 3 Q K Q Q J= =,,n n n n Q Q Q Q 1 2 3 1 3 = + ; 输出方程:3 Q Y= 由状态方程可得状态转换表,如表6-1所示;由状态转换表可得状态转换图,如图A6-1所示。电路可以自启动。 表6-1 n n n Q Q Q 1 2 3 Y Q Q Q n n n1 1 1 2 1 3 + + +n n n Q Q Q 1 2 3 Y Q Q Q n n n1 1 1 2 1 3 + + + 000 00 1 010 01 1 0010 0100 0110 1000 100 10 1 110 11 1 000 1 011 1 010 1 001 1 图A6-1 电路的逻辑功能:是一个五进制计数器,计数顺序是从0到4循环。 [6.2]试分析图P6-2时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A为输入逻辑变量。 图P6-2

[解] 驱动方程:2 1 Q A D=, 2 1 2 Q Q A D= 状态方程: n n Q A Q 2 1 1 = + ,) ( 1 2 2 1 1 2 n n n n n Q Q A Q Q A Q+ = = + 输出方程:2 1 Q Q A Y=表6-2 由状态方程可得状态转换表,如表6-2所示;由状态转换表 可得状态转换图,如图A6-2所示。 电路的逻辑功能是:判断A是否连续输入四个和四个以上“1” 信号,是则Y=1,否则Y=0。 图A6-2 [6.3]试分析图P6-3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方 程,画出电路的状态转换图,检查电路能否自启动。 图P6-3 [解] 3 2 1 Q Q J=,1 1 = K; 1 2 Q J=, 3 1 2 Q Q K=; 2 3 2 1 3 Q K Q Q J= =, = +1 1 n Q 3 2 Q Q· 1 Q; 2 1 1 2 Q Q Q n= + +2 3 1 Q Q Q; 3 2 3 2 1 1 3 Q Q Q Q Q Q n+ = + Y = 3 2 Q Q 电路的状态转换图如图A6-3所示,电路能够自启动。 图A6-3 [6.4]分析图P6-4给出的时序电路,画出电路的状态转换图,检查电路能否自启动,说明电路实现的功能。A为输入变量。 n n Q AQ 1 2 Y Q Q n n1 1 1 2 + + 000 00 1 010 01 1 100 11 1 110 10 1 010 100 110 00 1 11 1 100 010 000

相关主题
相关文档
最新文档