多位数码管动态扫描电路设计与调试

实验项目二:多位数码管动态扫描电路设计与调试

一、实验目的

1、掌握数码管动态扫描显示原理及实现方法。

2、掌握动态扫描显示电路驱动程序的编写方法。

二、实验电路

本实验电路如图1所示,所用元件清单如图2所示。

三、相关实验知识

LED数码管动态显示的基本做法在于从那时起轮流选通数码管的公共端,使得各数码管轮流导通,在选通相应数码管后,即在显示字段上得到显示字形码。这种方式不但能提高数码管的发光效率,而且由于各个数码管的字段线是并联使用的,从而大大简化了硬件线路。

动态扫描显示接口是单片机系统中应用最为广泛的一种显示方式。其接口电路是把所有显示器的8个笔画段A~DP同名端并联在一起,而每个显示器的公共极COM各自独立地受I/O线控制,CPU向字输出口送出字形码时,所有显示器由于同名端并连接收到相同的字形码,但究竟是哪个显示器亮,则取决于COM端,而这一端是由I/O控制的,所以就可以自行决定何时显示哪一位了。而所谓动态扫描是指采用从分时的方法,轮流控制各个显示器的COM端,使各个显示器轮流点亮。

在轮流点亮扫描过程中,每位显示器的点亮时间是极为短暂的(约1ms),但由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位显示器并非同时点亮,但只要扫描

的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。

采用总路线驱动器74HC245提供LED数码管的段驱动,输出高电平时点亮相应段;采用集电极开路的BCD-十进制译码器/驱动器完成LED数码管位驱动,输出低电平时选通相应位。P2口每个口线输出灌电流不足以驱动一个数码管显示器的位—公共极,所以通过集电极开路的BCD—十进制译码器/驱动器7445驱动,即节约P2口线,又增加驱动能力。

四、实验内容

1、打开ISIS 7 Professional,参照“二、实验电路”设计仿真电路原理图。

2、编写程序实现:

显示“8.8.8.8.8.8.8.8.”,即点亮显示器所有段,持续约500ms之后,显示器持续约1s;最后显示“HELLO—10”,保持。

五、实验要求

1、复习“LED数码显示器”有关内容,查阅学习通用集成电路74HC245、7445资料

和使用方法。

2、写出实验报告,内容包括:

(1)实验目的。

(2)实验电路组成及各单元描述。

(3)软件组成和主要模块流程图。

(4)写出详细的调试步骤,记录实验现象。可通过uVision2 IDE提供的单步、断点、连续等调试功能查看实验电路和内部寄存器,存储器的变化情况。

(5)记录必要的实验数据。

3、提交电子文件给老师验收,包括实验电路、对应的uVision2 IDE项目。

六、思考与讨论

1、能否像PC那样,在内存中建立一个显示缓冲区,通过修改缓冲区达到修改显示器

的内容?这样的缓冲区需要几个字节?这样做有什么优点,试编写程序实现。

数字动态扫描显示电路的设计

一、概述 数字动态扫描显示电路是主要由实现信号输入和输出的译码器、LED 数码管、555多谐振荡器,节拍发生电路实现动态显示频率的振荡器与部分模拟器件构成的一种电子产品,显示则由四位LED 数码管显示,该数字动态扫描显示电路的功能是实现显示动态输入的四位数字。数字动态扫描显示电路是由固定频率的信号做为节拍发生起器的时钟,由它控制节拍发生器各引脚的输出,使各引脚不断的输出高电平影响各个数码管显示,只有在和译码器相连的引脚输出高电平时数码管发光,否则不发光。当各引脚输出高电平的频率达到一定程度时,感觉不到数码管的闪烁,从而保护了数码管并且不会影响数据显示。 二、方案论证 设计一个动态扫描显示电路能够使四位数码管按照一定的顺序轮流地发光显示。 方案一: 方案一原理框图如图1所示。 图1 动态扫描显示电路的原理框图 方案二: 方案二原理框图如图2所示。 图2 动态扫描显示电路 数字开关 编码器 振荡器 计数选择器 显示器 译码器 振荡器 节拍发生器 译码器 显示电路

本设计采用的是方案二,数字动态扫描显示主要由节拍发生器,译码器,振荡器,和显示器组成。中间实现数值的译码,显示器则实现数字的输出,相应的数字就在相应的LED 数码管显示,当开关拔开时则相应的数码管熄灭。数字动态扫描显示电路是由固定频率的信号做为节拍发生器的时钟,由它控制节拍发生器各引脚的输出,使各引脚不断的输出高电平影响各个数码管显示,只有在和译码器相连的引脚输出高电平时数码管发光,否则不发光。当各引脚输出高电平的频率达到一定程度时,感觉不到数码管的闪烁,从而保护了数码管并且不会影响数据显示。 三、电路设计 1振荡电路 为了避免出现闪烁现象,扫描频率不能太低,人眼的临界闪烁是50HZ ,一般可将 显示位数乘以50HZ ,作为节拍发生器的时钟。 图3 多谐振荡电路 多谐振荡器是一种能产生矩形波的自激振荡器,也称矩形波发生器。“多谐”指矩形波中除了基波成分外,还含有丰富的高次谐波成分。多谐振荡器没有稳态,只有两个暂稳态。在工作时,电路的状态在这两个暂稳态之间自动地交替变换, 由此产生矩形波脉冲信号,常用作脉冲信号源及时序电路中的时钟信号。 用555定时器构成的多谐振荡器图中电容C 、电阻R1和R2作为振荡器的定时元件,决定着输出矩形波正、负脉冲的宽度。定时器的触发输入端(2脚)和阀值输入(6脚)与电容相连;集电极开路输出端(7脚)接R1、R 相连处,用以控制电容C 的充、放电;外界控制输入端(5脚)通过0.01uF 电容接地。电路接通电源的瞬间,由于电容C 来不及充电,Vc=0v ,所以555定时器状态为1,输出Vo 为高电平。同时,集电极输出端(7脚)对地断开,电源Vcc 对电容C 充电,电路进入暂稳,此后,电路周而复始地产生周期性的输出脉冲。多谐振荡器两个暂稳态的维持时间取决于RC 充、放电回路的参数。暂稳态Ⅰ的维持时间,即输出Vo 的正向脉冲宽度T1≈0.7(R1+R2)C ;暂稳态Ⅱ的维持时间,即输出Vo 的负向脉冲宽度T2≈0.7R2C 。因此,振荡周期T=T1+T2=0.7(R1+2R2)C , 555_VIRTUAL Timer GND DIS OUT RST VCC THR CON TRI 103.1k|? R1 206.1k|? R2 clk 10nF C 10nF Cf 10V Vs 8 7 4 1

单片机课程设计-8位8段LED数码管动态扫描显示

8位8段LED数码管动态扫描 一、内容要求: 在8位8段LED数码管显示“8.8.8.8.8.8.8.8.”持续500ms,之后灭 显示器200ms;然后显示“WELCOM-1”(由于8位8段LED数码管显示不能显示字母W 和M,所以改为显示“HELLO-93”) 二、目的和意义 1、掌握数码管动态扫描显示原理及实现方法。 2、掌握动态扫描显示电路驱动程序的编写方法。 三、总体方案设计思路 LED数码动态显示的基本做法在于分时轮流选通数码管的公共端,使得各数码管轮流导通,再选通相应的数码管后,即显示字段上得到显示字形码。这种方式数码管的发光效率,而且由于各个数码管的字段线是并联使用的,从而大大简化了硬件线路。 动态扫描显示接口是单片机系统中应用最为广泛的一种显示方式。其接口电路是把所有显示器的8个笔画段A-DP同名端并联在一起,而每个显示器的公共极COM各自独立地接受I/O线控制,CPU向字段输出口送出字段形码是,所有显示器由于同名端并连接收到相同的字形码,但究竟是哪个显示器亮,则取决于COM端,而这一端是由I/O控制的,所以就可以自行决定何时显示哪一位了。而所谓动态扫描是指采用分时的方法,轮流控制各个显示器的COM端,使各个显示器轮流点亮。 再轮流点亮扫描过程中,每位显示器的点亮时间是极为短暂的(约1ms),但由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上个位显示器并非同时点亮,但只要扫描的速度足够快,给人的影响就是一组稳定的显示数据,不会有闪烁感。 采用总线驱动器74HC245提供LED数码管的段驱动,输出高电平时点亮相应段;采用集电极开路的BCD-十进制译码器/驱动器完成LED数码管位驱动,输出低电平时选通相应位。P2口每个口线输出灌电流不足以驱动一个数码管显示器的位-公共极,所依通过集电极开路的BCD-十进制译码器/驱动器7445驱动,即节约P2口线,又增加驱动能力。 四、仿真电路设计(电路原理图及关键单元说明)

数字电路与逻辑设计实验报告——数码管扫描显示控制器的设计与实现

数码管扫描显示控制器的设计与实现 一、六个数码管串行扫描电路 1.实验任务要求: ⑴掌握VHDL语言的语法规范,掌握时序电路描述方法 ⑵掌握多个数码管动态扫描显示的原理及设计方法 2.设计思路和过程 用VHDL语言设计并实现六个数码管串行扫描电路,要求同时显示0、1、2、3、4、5这6个不同的数字图形到6个数码管上,仿真并下载验证其功能: 使用模6计数器产生一个M=6的信号,控制“数码管选通控制信号”cat的输出,低电平点亮管,使“0至5号”6个数码管依次点亮;同时控制数字的输出,依次输出0至5。由于使用了同一个模6计数器,使得cat的输出与数字的输出是同步的,第k号数码管亮时输出k.当时钟频率足够大时(6个数码管需要300Hz以上的频率),数码管能稳定显示,在视觉上表现为同时显示0、1、2、3、4、5这6个不同的数字图形到6个数码管上 3.V HDL 程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY shiyanshi1 IS PORT( clk,clear:IN STD_LOGIC; cat:OUT STD_LOGIC_VECTOR(5 downto 0); b:OUT STD_LOGIC_VECTOR(6 downto 0) ); end shiyanshi1; ARCHITECTURE shumaguan OF shiyanshi1 IS SIGNAL q_temp: STD_LOGIC_VECTOR(2 downto 0); BEGIN PROCESS(clk) BEGIN IF(clk'event and clk='1') THEN IF clear='0' THEN q_temp<="000"; ELSIF q_temp="101" THEN q_temp<="000"; ELSE q_temp<=q_temp+1;

实验二动态扫描显示电路设计

实验二动态扫描显示电路设计 一、设计要求 1、设计要求 设计一个四位LED数码显示动态扫描控制电路,显示4位十进制数或4字母的单词,要求显示内容可以通过按键切换。 2、硬件环境 LP-2900开发装置的LED数码管为共阴显示器,六个显示器的七个段控制a~g及小数点dp分别对应相连,各显示器的共阴极分别由一个3线-8线译码器74138的输出Y0~Y5控制。译码器的3位输入码分别由FPGA的I/O端口DE3、DE2、DE1控制,如图1所示。 图1 LP-2900开发装置FPGA与LED数码显示器的电路连接 3线-8线译码器的3位输入码DE3、DE2、DE1为“000”~“101”时,输出Y0~Y5中有一个为0,FPGA的a~g端口将控制共阴极为0的数码管显示。比如,当DE3、DE2、DE1为“011”时,Y3=0,数码管C4显示。 二、设计原理分析 多位七段显示器的控制分为静态和动态扫描两种方法。静态驱动方法是将所有显示器的公共端都接有效电平,各位显示器的段控制信号互不相干,分别控制。这样,n位显示器需要7×n个控制信号(不包括小数点),即需要FPGA的56个I/O口对其进行控制。 动态扫描方法是将所有显示器的各个段控制端(a、b…、g、dp)一一对应连接,而各显示器的公共端COM由位扫描信号分别控制。这样,n位显示器只需要8+n个控制信号(包括小数点)。比如,LP-2900开发装置上B区的6个共阴显示器采用了动态扫描驱动方式,6个共阴端C1~C6由通过一个3线-8线译码器分时控制,电路原理如图1所示。这样FPGA 只需要11个I/O口,其中8个控制段信号、3个输出二进制码(“000”~“101”)控制C1~C6。1.动态显示扫描控制 动态扫描驱动电路中所有的显示器由相同的段信号控制,公共端有效的显示器将显示相同的字符。所以,要使各显示器显示不同的内容,必须控制它们的公共端分时轮流有效。每个显示器只在其公共端为有效电平时根据段码信号显示相应的字符,公共端无效时灭显。 比如,若LP-2900上FPGA端口DE3、DE2、DE1按“000”→“001”→“010”→“011”→“100”→“101”→“000”循环输出二进制码,图1中的3线-8线译码器74138将输出顺序脉冲扫描控制6个共阴显示器的公共端C1~C6,信号波形如图3所示。每路脉冲信号的周期为6个时钟周期,只有1个时钟周期为低电平,且低电平时间依序错开。由于

8位数码管动态显示电路设计

电子课程设计——8位数码管动态显示电路设计 学院:电子信息工程学院 专业、班级:自动化 姓名: 学号: 指导教师: 2014年12月

目录 一、设计任务与要求...................... 错误!未定义书签。 二、总体框图............................ 错误!未定义书签。 2.1、设计思想....................... 错误!未定义书签。 2.2、设计方案....................... 错误!未定义书签。 2.3、对方案的分析................... 错误!未定义书签。 三、选择器件 (4) 3.1、实验所需器件: (4) 3.2、器件说明: (4) 四、功能模块 (7) 4.1、脉冲模块设计 (7) 4.2、扫描电路模块设计 (8) 4.3、显示电路模块设计 (9) 五、总体设计电路图 (10) 5.1总体设计原理 (10) 5.2、总体设计电路图 (11) 5.3、仿真结果 (11) 5.4、硬件实验 (13) 六、心得体会 (14)

8位数码管动态显示电路设计 一、设计任务与要求 1.设计个8位数码管动态显示电路,动态显示1、2、3、4、5、6、7、8。(第 一至第八个数码管依次显示1、2、3、4、5、6、7、8) 2.要求在某一时刻,仅有一个LED数码管发光。 3.该数码管发光一段时间后,下一个LED发光,这样8只数码管循环发光。 4.当循环扫描速度足够快时,由于视觉暂留的原因,就会感觉8只数码管是在 持续发光。 5.研究循环地址码发生器的时钟频率和显示闪烁的关系。 二、总体框图 2、1、设计思想 电路有八种显示状态,在第一脉冲周期内,第一个数码管显示1;第二个脉冲周期内,第二个数码管显示2;在第三脉冲周期内,第三个数码管显示3;第四个脉冲周期内,第四个数码管显示4;在第五脉冲周期内,第五个数码管显示5;第六个脉冲周期内,第六个数码管显示6;在第七脉冲周期内,第七个数码管显示7;第八个脉冲周期内,第八个数码管显示8。 2、2、设计方案 总体框图: 脉冲产生电路(555定时器组成的多谐振荡器)扫描脉冲 扫描电路模块 (计数器,译码器 组合成扫描电路) 显示电路模块 电源 图1

8位十进制数码动态扫描电路设计报告资料

设计报告 课程名称电子技术基础I 任课教师 设计题目8位十进制数动态扫描显示控制电路班级

8位十进制数数码动态扫描电路设计 简介:所谓动态扫描显示,就是让各位LED按照一定的顺序轮流地发光显示。只要每秒扫 描次数大于24次以上,就观察不到闪烁现象,人眼看起来很稳定。静态扫描显示与动态显示相比,有显著降低LED功耗,大大减少LED的外部引线等优点。目前动态扫描显示技术已经被广泛应用于新型数字仪表、智能仪器和智能显示屏中。 本次课程实践中运用QuartusII软件,采用VHDL文本设计和原理图相结合的层次化方式实现数码8位动态扫描显示电路设计。首先,分别用VHDL语言编写8位数码扫描显示电路程序和分频器程序,作为底层文件;顶层文件用原理图的设计方法,调用底层文件生成的符号,从而实现动态扫描显示。用VHDL设计一个8位数码扫描显示电路,利用QuartusII9.0进行编辑输入、编译及时序仿真。其中,由于分频器的分频系数过大时,在仿真波形上很难看出波形的变化,如本设计是从100MHz分频到1KHz,分频系数为一万,所以可以通过改变减小分频系数,如改为10分频,就得到变化的波形,来验证数码动态扫描显示电路设计的正误。 一、工作原理 1、8位动态扫描显示的工作原理: 输入信号:时钟信号CLK。输出控制信号:段控制信号SG[6..0];位控制控制信号BT[7..0]。8位数码管,其中每个数码管的8个段h、g、f、e、d、c、b、a(h是小数点)都分别连接在一起,8个数码管分别由8个选通信号k1~k8来选择。被选通的数码管显示数据,其余关闭。如在某一时刻,k3为高电平,其余选通信号为低电平,这时仅为k3对应的数码管显示来自段信号端的数据,而其他7个数码管呈现关闭状态。根据这种电路状况,如果希望在8个数码管显示希望的数据,就必须使得8个选通信号k1~k8分别被选通,与此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。 扫描显示程序中CLK是扫描时钟;SG为7段控制信号,由高到低为分别接g、f、e、d、c、b、a7个段;BT是位选控制信号,接下图(1)中的8个位选通信号:k1、k2…k8。程序中CNT8是一个3位计数器,作扫描计数信号,有进程P2生成;进程P3是7断译码查表输出程序,进程P1是对8个数码管选通的扫描程序,例如当CNT8等于“010”时,K3对应的数码管被选通,同时,H被赋值3,再有进程P3译码输出“1001111”,显示在数码管上即为“3”;当CNT8扫变时将能在8个数码管上显示数据:12345678。 图(1)8位数码电路 2、七段数码显示译码器的原理: 7段数码是纯组合电路。通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的。为了满足十六进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA/CPLD

动态扫描显示电路设计

实验七、动态扫描显示电路设计 (1)实验目的:学习动态扫描显示电路的设计。 (2)实验原理:图1是8位数码扫描显示电路,其中每个数码管的8个段(A、B、C、D、E、F、G、DP)都分别连在一起,8个数码管分别由3个选通信号LI0、LI1、LI2经过译码器得到的8个信号来选择。当LI2LI1LI0=”000”时L1被选通显示数据,其余的关闭;当LI2LI1LI0=”001”时L2被选通显示数据,其余的关闭。通过LI2LI1LI0的动态变化到达多位数字的显示。 图1 8位数码扫描显示电路 (3)实验内容:在实验三模为60的8421BCD码加1计数器的基础上,采用动态扫描显示计数结果。 (4)附加题:用6个数码管显示数字钟。 (5)思考题:动态扫描显示的频率大致范围是多少? library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity bcd60 is port(clk,rst_n:in std_logic; ql,qh:out std_logic_vector(3 downto 0); cout: out std_logic;

we1,we2: out std_logic :='0'; LED7S :out std_logic_vector(7 downto 0)); end; architecture rtl of bcd60 is signal cnt1hz :std_logic_vector(24 downto 0); signal clk1hz :std_logic; signal cnt20hz: std_logic_vector(24 downto 0); signal clk20hz: std_logic; signal qlt,qht:std_logic_vector(3 downto 0); signal flag: std_logic :='0'; begin process(rst_n,clk) begin if(rst_n='0')then cnt1hz <=(others=>'0'); clk1hz <='0'; elsif (clk'event and clk='1')then if (cnt1hz=9999999)then --仿真时用9,用开发板时用9999999 cnt1hz<=(others=>'0'); clk1hz<=not clk1hz; else cnt1hz<=cnt1hz+1; end if; end if; end process; -- 分频得到计数时钟 process(rst_n,clk) begin if(rst_n='0')then cnt20hz <= (others=>'0'); clk20hz <= '0'; elsif (clk'event and clk='1')then if(cnt20hz=99999) then cnt20hz <= (others=>'0'); clk20hz <= not clk20hz; else cnt20hz <= cnt20hz +1; end if; end if; end process; ---分频得到扫描时钟200hz

实验四 八位七段数码管动态显示电路的设计

八位七段数码管动态显示电路的设计 一、实验目的 1、了解数码管的工作原理。 2、学习七段数码管显示译码器的设计。 3、学习VHDL的CASE语句及多层次设计方法。 二、实验原理 七段数码管是电子开发过程中常用的输出显示设备。在实验系统中使用的是两个四位一体、共阴极型七段数码管。其单个静态数码管如下图4-4-1所示。 图4-1 静态七段数码管 由于七段数码管公共端连接到GND(共阴极型),当数码管的中的那一个段被输入高电平,则相应的这一段被点亮。反之则不亮。共阳极性的数码管与之相么。四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。 三、实验内容 本实验要求完成的任务是在时钟信号的作用下,通过输入的键值在数码管上显示相应的键值。在实验中时,数字时钟选择1024HZ作为扫描时钟,用四个拨动开关做为输入,当四个拨动开关置为一个二进制数时,在数码管上显示其十六进制的值。 四、实验步骤 1、打开QUARTUSII软件,新建一个工程。 2、建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。 3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光盘中 提供的示例程序。 4、编写完VHDL程序后,保存起来。方法同实验一。

5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。 6、编译仿真无误后,根据用户自己的要求进行管脚分配。分配完成后,再进行全编译 一次,以使管脚分配生效。 7、根据实验内容用实验导线将上面管脚分配的FPGA管脚与对应的模块连接起来。 如果是调用的本书提供的VHDL代码,则实验连线如下: CLK:FPGA时钟信号,接数字时钟CLOCK3,并将这组时钟设为1024HZ。 KEY[3..0]:数码管显示输入信号,分别接拨动开关的S4,S3,S2,S1。 LEDAG[6..0]:数码管显示信号,接数码管的G、F、E、D、C、B、A。 SEL[2..0]:数码管的位选信号,接数码管的SEL2、SEL1、SEL0。 8、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自 己的编程思想一致。 五、实验现象与结果 以设计的参考示例为例,当设计文件加载到目标器件后,将数字信号源模块的时钟选择为1464HZ,拨动四位拨动开关,使其为一个数值,则八个数码管均显示拨动开关所表示的十六进制的值。

数码管动态扫描实验实验报告及程序

实验七数码管动态扫描实验 姓名专业学号 2010412381 成绩 一、实验目的 1.掌握Keil C51软件与protues软件联合仿真调试的方法; 2.掌握单片机对数码管的动态显示控制方式; 3.掌握定时器的基本使用及编程方法。 二、实验仪器与设备 1.微机1台 2.Keil C51集成开发环境 3.Proteus仿真软件 三、实验内容 1.用Proteus设计一8位数码管动态扫描显示电路。要求利用P0口做数 码管的段选线,P1.0~P1.2与74LS138译码器的3个输入端相连,其译 码输出Y0~Y7作为数码管的位选线。参考电路见后面实验报告。 2.编写程序,将数字1~8分别显示在8个数码管上,要求显示无闪烁。 3.延长每个数码管选通的时间(如500ms),观察动态扫描过程。 4.编写程序,利用Proteus中的“激励源/DCLOCK/数字类型/时钟”产生频 率为1HZ的方波输出,并利用定时/计数器T1统计脉冲的个数,将统计 结果动态实时的显示在数码管上。 5.提高时钟频率(如100KHZ),观察显示情况。 四、实验原理 1.动态扫描法: 1)动态扫描法是对各数码管循环扫描、轮流显示的方法。由于一次只 能让一个数码管显示,因此,要显示8位的数据,必须让数码管一 个一个轮流显示才可以,同时每个数码管显示的时间大约在1ms到 4ms之间,所以为了保证正确显示,每隔1ms,就得刷新一个数码管。 当扫描显示频率较高时,利用人眼的视觉暂留特性,看不出闪烁现 象,这种显示需要一个接口完成字型码的输出(段选),另一接口完 成各数码管的轮流点亮(位选)。 2)在进行数码显示的时候,要对显示单元开辟8个显示缓冲区,每个 显示缓冲区装有显示的不同数据即可。 3)对于显示的字型码数据采用查表方法来完成。 2.P0口 P0口作为地址/数据总线使用时是一个真正的双向端口;而作通用I/O 口时,只是一个准双向口,由于其内部漏极开路,应外接10KΩ的上拉 电阻,否则无法输出高电平。 3.74LS138:3线—8线译码器 引脚排列:

bcd译码的多位数码管扫描显示实验报告

bcd译码的多位数码管扫描显示实验报告 实验目的: 本实验旨在通过使用bcd译码器和多位数码管,实现对数字信号的转换和显示,同时掌握多位数码管的扫描显示原理。 实验原理: 1. bcd译码器 BCD译码器是一种数字电路,它将二进制代码转换为十进制代码。在本实验中,我们使用的是74LS47型BCD译码器。该译码器将4位二进制代码转换为对应的7段LED数字显示。 2. 多位数码管 多位数码管是一种用于数字显示的设备,它由多个单独的7段LED数字显示组成,并通过共阳或共阴极方式进行连接。在本实验中,我们使用的是共阳极多位数码管。 3. 扫描显示原理

扫描显示原理是指,在多个数码管中依次选取一个进行亮灯显示,并以较高的频率进行切换,从而形成连续不断、流畅自然、稳定可靠的视觉效果。在本实验中,我们使用了单片机控制扫描方式进行数码管的显示。 实验材料: 1. 74LS47型BCD译码器 1个 2. 共阳极4位数码管 1个 3. 单片机STC89C52RC 1个 4. 杜邦线、电阻等 实验步骤: 1. 搭建电路 将74LS47型BCD译码器和共阳极4位数码管按照电路图连接好,然后将单片机与BCD译码器和数码管连接,具体如下图所示:

![image-1.png](attachment:image-1.png) 2. 编写程序 使用Keil C51软件编写程序,实现对单片机的控制,具体代码如下: ``` #include //头文件 #define uchar unsigned char //定义uchar类型 #define uint unsigned int //定义uint类型 sbit LSA=P2^2; //定义LSA引脚 sbit LSB=P2^3; //定义LSB引脚 sbit LSC=P2^4; //定义LSC引脚 uchar code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; //数码管显示表格 void delay(uint i) //延时程序 { while(i--); } void main() { uchar i,j,k=0;

数码管动态扫描实验报告

数码管动态扫描实验报告 数码管动态扫描实验报告 引言: 数码管是一种常见的显示器件,广泛应用于电子设备中。动态扫描技术是一种常见的驱动数码管的方法。本实验旨在通过动态扫描技术实现数码管的显示,并对其原理进行深入研究。 一、实验目的 本实验的主要目的是掌握数码管的动态扫描原理,并通过实践验证其可行性。具体目标如下: 1. 理解数码管的基本工作原理; 2. 熟悉动态扫描技术的实现方法; 3. 掌握使用单片机驱动数码管的方法; 4. 通过实验验证动态扫描技术的可行性。 二、实验器材与原理 1. 实验器材: - 单片机开发板; - 4位共阳数码管; - 连接线。 2. 实验原理: 数码管是由多个发光二极管组成的,每个发光二极管对应一个数字或符号。共阳数码管的阳极连接在一起,而阴极分别与单片机的IO口相连。动态扫描技术是通过快速切换数码管的显示,从而形成连续的显示效果。具体原理如下:

- 单片机通过IO口输出高电平或低电平控制数码管的显示; - 通过快速切换数码管的显示,使得人眼感觉到数码管同时显示多个数字。 三、实验步骤 1. 连接电路: 将4位共阳数码管的阳极分别连接到单片机的IO口,阴极连接到GND。确保连接正确,避免短路或接反。 2. 编写程序: 使用单片机开发板的编程软件,编写程序控制数码管的显示。通过循环控制IO 口输出高低电平,实现动态扫描的效果。 3. 上传程序: 将编写好的程序上传到单片机开发板中,确保程序能够正确运行。 4. 运行实验: 将单片机开发板连接到电源,观察数码管的显示效果。通过动态扫描技术,数码管会以一定的频率显示不同的数字。 四、实验结果与分析 通过实验,我们成功实现了数码管的动态扫描显示。数码管以一定的频率切换显示不同的数字,形成了连续的显示效果。通过改变程序中的循环次数和延时时间,我们可以调整数码管显示的速度和亮度。 动态扫描技术的优点是可以通过少量IO口驱动多个数码管,节省了硬件资源。同时,由于数码管的刷新速度较快,人眼无法察觉到闪烁的现象,使得显示效果更加平滑和稳定。 然而,动态扫描技术也存在一些问题。首先,由于数码管的刷新频率较高,对

io控制数码管动态扫描实验总结

io控制数码管动态扫描实验总结 一、实验介绍 •定义:io控制数码管动态扫描是一种通过控制io接口来实现数码管动态显示的方法。 •目的:通过实验的方式,掌握io控制数码管动态扫描的原理、方法和过程,加深对io控制的理解。 •实验设备:数码管、单片机、电路板等。 •实验原理:通过快速改变数码管的段选信号和位选信号,从而控制数码管的显示。 二、实验步骤 1.连接电路:首先将数码管连接到单片机的io接口,并通过电路板连接相应 的电源,确保电路正常工作。 2.编写程序:根据单片机的型号选择相应的编程软件,并编写相应的程序,实 现数码管的动态扫描。 3.烧录程序:将编写好的程序通过烧录器烧录到单片机中,确保程序正确运行。 4.调试程序:连接电源,启动单片机,通过调试工具或串口助手查看程序运行 情况,如有问题及时调试。 三、实验结果 •经过上述步骤后,实验结果如下: 1.数码管正常工作,能够按照预定的程序动态显示不同的数字或字符。 2.程序能够正确控制数码管的亮灭和显示内容,无闪烁和错位现象。 3.实验数据与预期结果相符。 四、实验思考 1.实验中是否遇到任何问题?如果有,请列举并分析原因。 2.在实验过程中,是否有什么可以改进的地方? 4.1 实验中的问题及原因分析 •问题1:数码管显示不正常。

–原因分析:可能是数码管连接不正确,导致段选信号或位选信号无法控制。 –解决方法:检查数码管的连接,确保连接正确。 4.2 实验改进的地方 1.加入按键控制:可将按键与单片机相连,通过按键来控制数码管的显示内容, 增加实验的交互性。 2.加入计时功能:通过编写程序,实现数码管的计时功能,提高实验的实用性 和趣味性。 五、经验总结 1.通过本次实验,我深入理解了io控制数码管动态扫描的原理和方法。 2.实验中需要注意数码管的连接方式和编写程序的准确性,否则会导致实验结 果不符预期。 3.实验过程中遇到问题要耐心分析原因,并及时采取相应的解决方法。 六、实验应用 •io控制数码管动态扫描在实际工程中有广泛的应用,例如: 1.电子时钟:可以通过io控制数码管动态扫描,实现时钟的显示功能。 2.温度显示器:通过io控制数码管动态扫描,实时显示当前温度。 3.计分器:可以通过io控制数码管动态扫描,实现比赛计分的功能。 七、参考资料 •[单片机原理与接口技术]( •[单片机原理与接口技术实验指导书](

8位数码扫描显示电路设计

EDA与VHDL语言课程 实验报告 实验名称:8位数码扫描显示电路设计班级: 学号: 姓名: 实验日期: 2012.10.27

实验五8位数码扫描显示电路设计 一、实验目的:学习硬件扫描显示电路的设计。 二、实验原理:图 1 所示是8位数码扫描显示电路。图1中g~a为数码管段信号输入端,每个数码管的七个段(g、f、e、d、c、b、a)都分别连在一起;k1~k8为数码管的位选信号输入端。 8 个数码管分别由8 个位选信号k1、k2、…k8 来选通,被选通的数码管才显示数据,未选通的数码管关闭。如在某一时刻,k3 为高电平,其余选通信号均为低电平,这时仅k3 对应的数码管显示来自段信号端的数据,而其它7 个数码管呈现关闭状态。因此,如果希望在8 个数码管上显示希望的数据,就必须使得8 个选通信号k1、k2、…k8 分别被单独选通,同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。 图 1 8 位数码扫描显示电路 三、实验内容1:用VHDL语言设计8位数码扫描显示电路,显示输出数据直接在程序中给出。 1、程序设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY scanplay IS PORT( CLK:IN STD_LOGIC; SI:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); BI:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ); END ; ARCHITECTURE bhv OF scanplay IS SIGNAL S: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL B: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS(CLK) --产生动态扫描显示的控制信号 V ARIABLE SIO: STD_LOGIC_VECTOR(3 DOWNTO 0); V ARIABLE BIO: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

模电课程设计多位LED扫描译码驱动电路实验报告

模电课程设计多位LED扫描译码驱动电路实验报告武汉工程大学电子技术课程设计 PAGE 1 多位LED扫描译码驱动电路实验报告 目录 TOC \o 1-3 \h \z \u HYPERLINK 第一章绪论 HYPERLINK 第二章LED动态动态扫描扫描原理 1.HYPERLINK 目标与电路总体功能概述 2.HYPERLINK 电路结构框图 3.HYPERLINK 设计迟滞比较器方波产生电路 4.HYPERLINK 设计信号调理和整形电路 5.HYPERLINK LED动态扫描和译码驱动电路 HYPERLINK 第三章系统硬件电路设计 1.HYPERLINK 方波产生电路 2.HYPERLINK 完整实验电路图 3.HYPERLINK 扩展部分 HYPERLINK 第四章仿真与调试 1.HYPERLINK 思考题 HYPERLINK 第五章总结 HYPERLINK 第六章心得体会 HYPERLINK 参考文献 第一章绪论 LED显示屏是80 年代后期在全球迅速发展起来的新型信息显示媒体, 它利用发光二极管构成的点阵模块或像素单元, 组成大面积显示屏幕, 以其可靠性高、使用寿命、环境适应能力强、性能价格比高、使用成本低等特点, 在信息显示领域已经得到了非常广泛的应用。 LED及发光二极管显示电路较为简单,成本也较低,在功能单一的仪器仪表与机电设备中应用较广。LED显示屏主要包括发光二极管构成的阵列、驱动电路、控制系统及传输接口和相应的应用软件等, 其中驱动电路设计的好坏, 对LED显示屏的显示效果、制作成本及系统的运行性能起着很重要的作用。大多数显示驱动器都没有严格的总线时序,在强干扰环境下容易造成时序混乱,使显示不正常。所以, 设计一种既能满足控制驱动的要求, 同时使用器件少、成本低的控制驱动电路是很有必要的。 第二章LED动态动态扫描扫描原理 1.目标与电路总体功能概述 多位LED扫描译码驱动电路就是一个动态数字扫描显示功能的一个电子产品,它讲述了数模电驱动数码管的显示和实现扫描显示等电路各部分的设计原理及所能实现的功能,它要求要对各种编码器、译码器、数据选择器以及振荡器等多种数字电子芯片熟悉,并能加以运用。该电路的功能是通过控制数据选择器输入端的高低电平来使四个LED显示器可以显示0-9任意一个数字,实现动态扫描功能。由振荡电路,控制电路,四位四选一数据选择器,一位LED译码驱动电路和四位LED显示电路组成。 电路结构框图 方波产生电路 方波产生电路

四位LED显示器动态扫描电路

《数字电路》课程设计 四位LED动态扫描设计 目录 1前言(引言) 随着计算机技术和电子技术的飞速发展和广泛应用,电器设备的输出显示技术也变得复杂多样,诸如CRT显示、LCD显示、多位LED显示及发光二极管显示等应运而生。在这些显示当中,LED及发光二极管显示电路较为简单,成本也较低,在功能单一的仪器仪表与机电设备中应用较广。但当设备显示的点或位较多时,就需要采用一定的驱动电路与相应的驱动方式。 通过我们所学的数字电路,模拟电路,设计一个电路,实现一些功能。此次设计锻炼我们的动手能力,解决问题的能力! 2设计任务及方案论证 用四位编码开关编码,将编出来的数字(0~9)以动态扫描的形式显示在LED数码管上,并且能够调节扫描频率。 1.通过编码开关,编出0000~9999的数字。 2.通过两个四选一的选择开关(74LS153),选择输出位数。 3.将选择输出的四位进行排序,接入数码管译码器(C4511)。 4.将对应的编码通过译码器显示在数码管上。 5.由于要求动态扫描: 接入一个时钟脉冲。产生时钟脉冲需要接入555多些振荡器。 产生的CP脉冲,通过计数器产生00~11的二进制数。 两位二进制数与四选一选择开关和2—4的计数器同步,产生1110,1101,1011,0111的四位二进制数作为数码管的驱动电压。 将设计的电路,经过理论计算,做出电路板,进行调试,从而来验证试验设计的真确性。3电路设计原理与实验电路 3.1设计任务及要求 利用数字集成电路(如:74LS353、48、139、393,NE555等)和分立元件设计一个四位LED显示器动态扫描驱动电路。 (1)基本要求 ①显示范围:0000~9999;

8位数码管动态显示电路设计

电子课程设计 —8位数码管动态显示电路设计 学院:电子信息工程学院 专业、班级: 姓名: 学号: 指导老师: 2014年12月

目录 一、设计任务与要求................................................. (3) 二、总体框图................................................. (3) 三、选择器件................................................. (3) 四、功能模块................................................. (9) 五、总体设计电路图................................................. . (10)

六、心得体会.................................................. .. (12) 8位数码管动态显示电路设计 一、设计任务与要求 1. 设计个8位数码管动态显示电路,动态显示1、2、3、4、5、6、7、8。 2. 要求在某一时刻,仅有一个LED数码管发光。 3. 该数码管发光一段时间后,下一个LED发光,这样8只数码管循环发光。 4. 当循环扫描速度足够快时,由于视觉暂留的原因,就会感觉8只数码管是在持续发光。 5、研究循环地址码发生器的时钟频率和显示闪烁的关系。 二、总体框图 设计的总体框图如图2-1所示。

图2-1总体框图 三、选择器件 1、数码管 数码管是一种由发光二极管组成的断码型显示器件,如图1所示。 U13 DCD_HEX 图1 数码管 数码管里有八个小LED发光二极管,通过控制不同的LED的亮灭来显示出不同的字形。数码管又分为共阴极和共阳极两种类型,其实共阴极就是将八个LED 的阴极连在一起,让其接地,这样给任何一个LED的另一端高电平,它便能点亮。而共阳极就是将八个LED的阳极连在一起。 2、非门 非门又称为反相器,是实现逻辑非运算的逻辑电路。非门有输入和输出两个端,电路符号如图2所示,其输出端的圆圈代表反相的意思,当其输入端为高电平时输出端为低电平,当其输入端为低电平时输出端为高电平。也就是说,输入端和输出端的电平状态总是反相的。其真值表如表1所示。

八位七段数码管动态显示电路设计方案

八位七段数码管动态显示电路的设计 一七段显示器介绍 七段显示器,在许多产品或场合上经常可见。其内部结构是由八个发光二极管所组成,为七个笔画与一个小数点,依顺时针方向为A、B、C、D、E、F、G与DP等八组发光二极管之排列,可用以显示0~9数字及英文数A、b、C、d、E、F。目前常用的七段显示器通常附有小数点,如此使其得以显示阿拉伯数之小数点部份。七段显示器的脚位和线路图如下图4.1所示( 其第一支接脚位于俯视图之左上角)。 图4.1、七段显示器俯视图 由于发光二极管只有在顺向偏压的时候才会发光。因此,七段显示器依其结构不同的应用需求,区分为低电位动作与高电位动作的两种型态的组件,另一种常见的说法则是共阳极( 低电位动作)与共阴极( 高电位动作)七段显示器,如下图4.2所示。 ( 共阳极) ( 共阴极) 图4.2、共阳极(低电位动作)与共阴极(高电位动作)

要如何使七段显示器发光呢?对于共阴极规格的七段显示器来说,必须使用“ Sink Current ”方式,亦即是共同接脚COM为VCC,并由Cyclone II FPGA使接脚成为高电位,进而使外部电源将流经七段显示器,再流入Cyclone II FPGA的一种方式 本实验平台之七段显示器模块接线图如下图4.5所示。此平台配置了八组共阳极之七段显示器,亦即是每一组七段显示器之COM接脚,均接连至VCC电源。而每一段发光二极管,其脚位亦均与Cyclone II FPGA接连。四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。 图4.5、七段显示器模块接线图 七段显示器之常见应用如下 可作为与数值显示相关之设计。 ⏹电子时钟应用显示 ⏹倒数定时器 ⏹秒表 ⏹计数器、定时器 ⏹算数运算之数值显示器

相关文档
最新文档