循环彩灯控制器

循环彩灯控制器
循环彩灯控制器

四单元电路的设计

1.设计所使用的元件及工具:

74LS161(四位二进制同步计数器) ---------------------- 2个;

74LS194(移位寄存器) ------------------------------ 2个;

74LS151(八选一数据选择器) --------------------------- 1个;

74LS74(双D 触发器) ---------------------------------- 1个;

74LS20(双四输入与非门) ---------------------------- 3个;

74LS04(六非门) ------------------------------------ 2个;

发光二极管--------------------------------------------- 8个;

555 ----------------------------------------------- 1个;

电容: 4.7μf ----------------------------------------------1个;

0.01μf ---------------------------------------------1个;

电阻:150kΩ------------------------------------------------------------ 1个;

100Ω ----------------------------------------------1个;

4.7kΩ -----------------------------------------1个;

实验板一个;

万用表一个;

钳子一个;

导线若干。

2.各个单元电路

(1)花型演示电路

由二片移位寄存器194级联实现。其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。

三种花型变换样式

花型1:8路灯分两半。从左至右渐亮,全亮后,再分两半从左至右渐灭。循环两次;

花型2:从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭。循环两次;

花型3:从左至右顺次渐亮。全亮后逆序渐灭。循环两次。

移存器输出状态编码表

多路彩灯控制器的设计4

2008年09月21日星期日 13:10我的设计是每种花型完整显示两遍,所以三种花型完全显示一遍需要的总结拍数为64,即1~16显示第一个花型,17~32显示第二个花型,33~64显示第三个花型。

要用194实现三个花型的连续显示必须对两片194的S1、S0和SL、SR一句节拍的变化进行相应的改变。现将两片194分为低位片1和高位片2,再将其输出端从低位到高位记为L1~L8。列出各花型和其对应的194的S1、S0、SL、SR 的输入信号及节拍控制信号列表如下:(用^Li表示Li的取非)

经过分析可以得到控制194高低位片的左移右移变化的控制量。用QA~QH表示161从低位到高位的个输出端。

控制结果表达式如下:

电路图如下:

(2)花型控制信号电路

由二片161级联的模128(三种花型节拍每种显示两遍,再总体重复一遍的总节拍数)计数器。

161的级联用的是同步,并用^QH 清零。

当三种花型全新显示一遍后(总共64拍)161的输出变为00000100所以将161高位片的Q2(即QG )信号输给节拍控制电路的151的A 来通过节拍控制电路改变第二遍花型显示的频率。161的CP 脉冲来自节拍控制电路中74的输出端Y 。

电路图如下:

U5

DIS 7OUT 3

RST 4VCC 86U16

~W

6

D04D13D22D31D415D514D613D7

12Y 5U17A 1D

21Q 5

63

~1PR 4VCC

5V

R1VCC

5V

2524

30

VCC

VCC 22

D

G

T GND

GND

(3)节拍控制电路

由一片151和一片74级联实现。整体上实现脉冲频率的变换,即交替产生快慢节拍。

令74的Vcc,CLR,PR都接高电平,将^Q的输出接到D端,Q端的输出接到151的D1端。令151的D0,D2,D3,D4,D5,D6,D7,B,C,G’,GND接低电平,Vcc 接高电平,D0接时钟信号的CP脉冲,A端接由花型控制电路的QG输出。

所以Y端的输出就为:Y=C P·^A+Q·A

(Q是74D触发器的输出端)由D触发器具有记忆功能,记录上一个状态,所以在每一个CP脉冲的上升沿,Q输出为上一次的记录(即一个脉冲)。也就比时钟信号电路的CP脉冲慢了一拍。

所以通过A为0或1选择Y端输出的脉冲的频率。A端接的是161的高位片的QG即当到达第64拍时QG为1接下来的65~128拍为变慢后的脉冲输出。电路图如下:

(4)时钟信号电路

由一片555加上适当电容及电阻实现。

电容取:4.7μf0.01μf

电阻取:150 kΩ 4.7 kΩ

电路图如下:

五总体电路图(见附页)

六电路组装完成后,实际测量的各个单元电路的输入、输出信号波形

1.基本CP脉冲产生电路波形图与分频电路波形图

2. 测试波形: (列依次为CP脉冲,低位片194A,B,C,D,高位片194A,B,C,D)

花型一:

花型二:

花型三:

七电路组装、调试过程中发生的问题及解决的方法。

我的数字电路课程设计总共用了4天完成的,这4天每一天都有所收获,都有所进步。起初想预习但不知道都该看些什么也不知道从何看起,因为对自己要设计的东西一点思路都没有。所以第一天去了只知道要用到CP脉冲产生电路,因为书上有完好的电路图直接照着连就OK。可是问题并不是想的那么简单,因为我一开始操作就不知道怎样布线才合理,常出现看着电路图不知道这条线该走哪儿连过去,看过老师的示范后,对老师的布线法真是无限敬佩,聪明呀。所以当然要学习了,于是自己也那样连了。连完时钟电路后,满以为会很成功,因为我的电路连得很简洁。结果是加电后LED二极管居然常亮,检查电路没什么问题,我开始不知所措,于是去咨询其他同学,发现好多同学都有和我一样的问题,有同学说那可能是线的而问题,也可能是板子的问题,也可能是电容的正负极插反了…不管是什么问题,一个个排除吧。最终其实也没很确切的知道问题的所在,因为不同的方法都在试具体是哪个也不确定了。我觉得最可能是电容的正负极和LED的插稳与否的问题。

第二天去我拿了个数码管来测试,结果连好了的电路加电测试结果却完全是错误的显示,我检测了电路测试了电位,都正确。老师过来看时让我加电他看看,结果居然是我给的电压太高了,我在加电是不小心把电压调的很高,自己还没在意,幸好没把芯片很板子烧坏。

在接下来的几天中我看了一些参考书籍,大概有了自己的思路,于是开始一一试验,我用了<<数字系统设计——数字电路课程设计指南>> (北京邮电学院出版社高书莉编)所提供的三种花型,所以刚开始的几天就一直在想怎样将三种花型衔接起来,前两天是一直都没相处具体的解决方法。后来我就不停地分析花型的变化与161加法器数值的增加两者之间的规律。我发现自己好像有点太急于求成首先就把问题带到了最不容易解决的地方,于是我改变了思路开始不复杂的先简单化,三个花型的演示先不管,一个花型弄好再说。说行动立马行动,先连好了时钟信号电路再连了161的级联电路,先用清零端制1同步CP脉冲,还连了194的级联电路直接给低位片和高位片都加右移信号。先测试了161的工作状态是否正常,正常后又测试了194 的移位寄存功能,都正常。说明芯片和板

子都很正常。将下来的一天我又通过分析找到了前两种花型通过节拍控制其变化的方式,于是按照自己的设计连了电路图,测试过电压后,加电测试。结果完全正确,那一刻真挺欣慰,觉得这也是一件挺开心的事情。接下来的问题就是如何把第三种花型也加进去。因为我选的第三种花型稍有点复杂,因为两个194是同步级联的但在花型显示中看到是似乎是第一个先工作等到前4个灯都亮后高位片的194才开始工作,所以考虑将它怎样加入费了我好长时间。问题的关键还是在如何用最少的门电路将其加入。最后的设计我用了12个芯片,应该是很多了,可是依据我设计的花型,也只能得到这样的设计,到目前为止我也只找到这样的最简连接方案。

其实在电路组装过程中,遇到的最大问题是,芯片分布不够合理,无法很好的布线。于是在分析了我的设计后计算了要用芯片的个数和个芯片之间的关系,按照各个控制电路的走向较合理的插好了芯片。其次就是布线,因为要求不准交叉,且横平竖直,所以在保证连通的情况下,在布线上也下了不少工夫,虽然布线的成绩不高只得到B+,但我想我是用心考虑过的,而且尽力做到我认为很合理的布线,也就是用线的颜色有点混乱,因为用了很多从之前面包板上拆下来的旧线,所以整个板子看起来有点杂乱。也因为我的设计中要用3个74L00

和2个74L04,12个与非门电路的连接却是非了我好大的功夫。于是连线也就不十分合理了。

调试过程中,第一轮用万用表欧姆档测试,就遇了实验板上有插孔不通的情况,导致芯片不能正常工作。相对于别的办法,我选择了导线显示连通,因为其更明晰,更易实现。对于高阻导线则只能换掉。第二轮接电后,用万用表的电压档测试单元电路的状态。如:时钟信号电路的信号是否正常产生,控制信号电路中的计数器能否正常计数,D触发器能否每2拍翻转一次……最后在整体上测试一遍。

在整个调试完成后,却遇到的新问题:彩灯演示时有时正常有时混乱。在排除其它可能的情况下,我仔细检查各端子的连接情况,发现清“0”端在清“0”后悬空了。将其插到电源正极后,发现问题解决了。

八分析和总结。

本次课程实习我虽然用了一个星期的时间就全部做完,但整个过程我都认真的完成了,而且从中收获很多。可以总结为以下的几点:

1,对数字电路知识的巩固与提高

这次课程设计主要是运用数字电路逻辑设计的一些相关知识,在整个实习过程中,都离不开对数字电路课程知识的再学习。我在最开始,就先将实习用到的知识通过翻阅数电书回顾了一遍(这也是对这门课的复习,给以后的复习备考减少了很多负担),这样的回顾让我对知识的理解更加透彻,对后来的快速设计起了很好的铺垫作用。

2,学会了理论联系实际

课程设计,通过选择的题目,根据要求,运用所学知识将其付诸实践来完成。这并不是在课堂上的单纯听懂,或者课后看书过程中的深入理解,这需要的是一种理论联系实践的能力。理论知识往往都是在一些理想状态下的假设论,而实际的动手操作则完全不同,需要考虑实际中的很多问题。有些知识在理论上可能完全没错但到了实际中则不然。比如在动笔做题时我们是不用考虑导线的电阻

的,但在实际中,导线电阻有时是会带来时延造成花型变化的错乱,所以我们应尽量在连接电路时选择最短路径。

3,学会了如何运用电路板、芯片、导线等组装各种功能的电路;

虽然这不是第一次用电路板,因为之前的课内实验也用过,但当时的运用也只是插些导线和电阻电容之类的,用了电路板的很小部分。这次的实习中应用了整块板子,实习后对电路板的组成完全了解了,并能熟练运用。实习中通过对电路的连接也懂得了如何通过设计的分析对所连电路的整体布局,如何更好的放置芯片在最合适的位置。在导线的连接上,如何选择导线走向是关键,我们应该尽量保证所连电路的简捷,宁短勿长,合理布线。一个完美的作品不仅要能很好的完成要求实现功能,还要在感官上给人美的享受。所以站在美的角度对自己的电路进行改良是很必要的。

4,和同学的互相协作共同进步

在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的idea,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。

5,其他

课程实习设计是开端,连接是关键,测试是必须。所以实现过程中不仅要求对知识的掌握要足够准确与精通,更要有绝对的耐心与细心。连接电路时一定按照自己的设计图仔细连接这会对后面的测试起到很好的铺垫作用。在后面查错时就不用花费精力在查线上,可以给减少很多后续工作。我在这次的实习中其实也有连错线的时候,但我很快检查出来调整了那根线的连接,结果测试电路后花型显示完全正确。没有费太多的功夫在检查电路上。

九参考文献。

<<数字电路逻辑设计>> 高等教育出版社王毓银编

<<数字系统设计——数字电路课程设计指南>>

北京邮电学院出版社高书莉编

十过程考核表和成绩鉴定表

(这个具体内容老师会给你的,每个学校都有点不同在这我就不发了。)

下面是总体电路图,就是截图有点小,可能看不太清楚,其实就是前面每个分模块的组合。。。大家看看就明白了。

16路循环彩灯控制器

南华大学电气工程学院 《电子技术课程设计》任务书 设计题目:16路循环彩灯控制器 专业:本11通信01班 学生姓名: 周世闻学号:20114400123 起迄日期: 2013年12月9日~2013年12月20日指导教师:黄智伟 教研室主任:王彦

课程设计目录 一、内容摘要及关键词 (3) 二、课程设计任务书 (5) 三、课程设计方案及结构框图 (7) 四、课程设计原理 (8) 五、各单元电路的设计、计算及说明 (8) 六、课题整体电路图 (13) 七、芯片功能及其原理介绍 (14) 八、所用元器件清单 (24) 九、课程设计的心得体会 (25) 十、参考文献 (26)

摘要 数字电路是一门专业的基础课程,它具有很强的理论性与十分广泛的工程应用,本次课程设计的主要目的是掌握数字电子技术的一些基础知识,能够在一定程度上应用数电子技术的相关知识解决实际问题。 此次的课程设计是十六路循环彩灯控制器,此电路由四部分组成。如下:第一部分是由555计时器组成的信号发生器,控制频率的变化; 第二部分是由74HC163计数器组成的计数部分,其时钟信号由555计时器提供; 第三部分是由74HC154译码器组成的,计数器输出不同的计数结果,即可控制译码器译码得到不同的输出信号,决定彩灯的循环变化。如果使得计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。 而最后一部分则主要是由十六个彩灯构成的输出显示部分,显示在输入的信号不同时的输出结果,方便得出实验结果,进行此次实验设计的总结。 关键词:555计时器、74HC163计数器、74HC154译码器、LED彩灯、控制器、原理。

多彩循环彩灯控制器设计.

1 设计意义及要求 1.1 设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 1.2 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为0.5秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 2.1 设计思路 2.1.1 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由74LS161和门电路组成。 图2.1 多彩循环彩灯控制器设计方案一系统框图

具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 2.1.2 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图2.2所示: 图2.2 多彩循环彩灯控制器设计方案二系统框图 2.2 方案设计 2.2.1 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图2.3所示: 工作原理: 一、接通电源,555多谐振荡器产生周期为0.5秒的脉冲信号,将脉冲信号分别送 给计数器74LS161(1)和移位寄存器74LS198。计数器74LS161(1)按照反馈 置数法连接成8进制计数器从而实现对脉冲信号周期的扩展,即将原脉冲进行8 分频,得到周期为4秒的脉冲信号。用周期为4秒的脉冲信号触发下一个计数器 74LS161(2),把74LS161(2)连接成3进制计数器,Q1Q0就有三种循环状态00,01,10,00…… 二、将8个二输入与门7408作为一组,每个与门的选出一个输入端口连接在一起, 接控制信号,一共放置3组,组成3个与门结构。每组的二输入与门的另一个输 入端分别接上移位寄存器74LS198所需要的预置数,3组分别为00000001,111011

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

彩灯循环控制器设计

课程设计(论文) 题目名称循环彩灯控制器设计 课程名称电子技术课程设计 学生姓名 学号 系、专业电气工程系、电气工程及其自动化指导教师 2011年12月16 日 I

邵阳学院课程设计(论文)任务书 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效;2.此表1式3份,学生、指导教师、教研室各1份。 II

指导教师(签字):学生(签字): III

邵阳学院课程设计(论文)评阅表 学生姓名学号 系电气工程系专业班级09电气工程及其自动化专业题目名称循环彩灯控制器的设计课程名称电子技术课程设计 一、学生自我总结 二、指导教师评定 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面; 2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。 IV

摘要 当今社会,经济发展迅速,人们对周围环境的要求也越来越高。人们渴望在一个多姿的环境中生活,那样也不会太单调寂寞。霓虹灯以它炫彩的英姿征服了人们的眼球,为人们的生活添姿加彩。随着人们生活环境的不断改善和美化,在许多场合可以看到彩色流水灯,以往死寂般的夜空也开始变得鲜活。 霓虹灯发展到现在已经慢慢的融入到了我们生活的点点滴滴,在我们习以为常的环境中,变换着它们的色彩,绚烂着人们的生活。本次实验设计中我们在原有的基础上改进,设计这款彩灯循环发光控制器,通过对NE555集成时基电路和计数器/译码分配器CD4017等集成块的合理组建,实现了对彩灯的循环发光控制功能。 关键词:计数器;数据选择器;移位寄存器;彩灯显示电路 V

循环彩灯控制器的电路设计

数字电子技术课程设计题目循环彩灯控制器的电路设计 院系 专业 学生姓名 学号 指导教师 二O一O年十二月二十一日

循环彩灯控制器的电路设计 摘要:本课题主要研究循环彩灯控制器的电路设计,它由直流电源、555振荡器、4510计数器、4028译码器、双D触发器及彩灯等几大部分组成,其中直流电源共有5V和7V两种,均由桥式整流滤波电路产生,其中5V电源主要供给循环彩灯控制电路的主电路(彩灯部分)而7V电源主要共给其控制电路;555振荡器主要为电路产生时钟脉冲提供给计数器;而4510计数器在双D触发器的控制下实现加减计数的功能;4028译码器在4510计数器的基础上产生顺序脉冲信号提供给彩灯,3路彩灯在顺序脉冲的作用下依次正循环和反循环的闪亮。 关键字:循环;计数器;译码器;振荡器 Circulation lights controller circuit design Abstract: the article mainly studied circulation lights controller circuit design, it consists of dc power supply, 555 oscillator, 4510 counters, 4028 decoder, double D flip-flop and lights and several other major components, including dc power were 5V and 7V two kinds, all by bridge rectifier filter circuits produce, including 5V power supply main supply circulation lights control circuit of main circuit (colored lantern part of 7V power mainly to the control circuit, 555 oscillator mainly for circuit produce clock pulse provide counter, And 4510 counter on double D flip-flop is under the control of the realization of the function; add and subtract counting 4028 decoder in 4510 counter on the basis of sequence pulse signal generated provide lights, 3 road lights in order pulse in turn is under the action of circulation and reverse circulation ablaze. Key word: cycle, Counter, Decoder, oscillator 一、概述 彩灯控制电路在人们的日常生活中随处可见,无论是在繁华的闹市区或是在大中型游乐场、圣诞树等等都有它们的身影,循环彩灯控制电路是今年来渐渐兴起的一种较为简单的电子设备装置,它可以按照人们的要求控制彩灯以不同的方式被点亮,还可以伴随音乐、各种奇奇怪怪的声音,色彩,变化无穷,为人们的生活增光添彩。 1、彩灯的控制方法和类型 彩灯一般可以用白炽灯、发光二极管以及拥有不同彩色的灯泡等。常见的彩灯控制方法有两种,一是通过微机编程实现,这种方法的优点是编程简单,变换的种类多,需要的外接电路也有限,它还方便因场地的转移或天气的变化而改变,但它适合于需要控制的彩灯数目较多且经常变换的场合。另一种是通过电子设备来实现,这种的方法的优点是制作和调试比较容易,成本相对而言也较低,电路的结构不是很复杂,

多彩循环彩灯控制器设计

多彩循环彩灯控制器设 计 Document number:WTWYT-WYWY-BTGTT-YTTYU-2018GT

1设计意义及要求设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 设计思路 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由 74LS161和门电路组成。

图多彩循环彩灯控制器设计方案一系统框图 具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图所示: 图多彩循环彩灯控制器设计方案二系统框图 方案设计 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图所示:

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

循环彩灯控制器

目录 1设计目的及任务 (3) 1.1设计目的 (3) 1.2设计任务 (3) 2. QUARTUS II软件简介 (3) 3 EDA技术 (4) 4设计原理 (4) 4.1设计分析 (4) 4.2循环彩灯控制器整体设计 (5) 4.3程序设计框图 (7) 4.4彩灯控制器模块的程序设计及仿真 (7) 4.4.1VHDL源程序 (8) 4.4.2仿真波形 (9) 4.5结果分析和总结 (9) 5心得体会 (10) 参考文献 (11)

摘要 现在各大中城市商店都已普及彩灯装饰,所彩灯控制技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的彩灯装饰行业也将加速发展,彩灯控制器的普及也是毫无疑问的,所以未来彩灯控制器的市场还是十分有潜力的。彩灯的发展也在日新月异,特别是随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一。本实验利用VHDL语言对交通控制的逻辑功能进行描述,通过Quartus2和Cyclone芯片的强大功能使其功能得到实现。经过验证,基本达到实验目的,能够满足彩灯控制的需求。 关键字:彩灯控制器 VHDL QuartusⅡ

循环彩灯控制器 1设计目的及任务 1.1设计目的 (1)学习QuartusⅡ的结构、特点和性能; (2)学习的实用方法和编程过程; (3)熟悉EDA工具设计数字电路的设计方法,掌握VHDL硬件描述语言设计方法; (4)通过对循环彩灯控制器的设计,来加深对可编程逻辑器件的理解和掌握; (5)能够运用VHDL编程语言编写实验程序,进一步对所学的EDA知识进行掌握与实际应用。 1.2设计任务 设计一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮。要求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。 2. Quartus II软件简介 2.1 Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验

EDA课程设计 彩灯控制器.

电子设计自动化大作业 题目彩灯控制器的设计 学院**学院 班级电气** 学号********** 姓名******** 二O一二年十月三十一日

彩灯控制器的设计 一、彩灯控制器的设计要求 设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。控制器应有两种控制方式: (1)规则变化。变化节拍有0.5秒和0.x秒两种,交替出现,每种节拍可有4种花样,各执行一或二个周期后轮换。 (2)随机变化。无规律任意变化。 二、彩灯控制器的设计原理 本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。流程图如下所示: 图 1 彩灯控制器的设计流程图 彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较

简易。分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。扬声器通过不同的频率控制发出不同的声音。同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在1~4 之间为宜)。通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为 AA、BB、CC,并随着发光二极管同步动态显示。AA 为自左向右显示,BB 为自右向左显示,CC 从二边向中间再由中间向二边发散显示。与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。 三、程序设计和分析 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 建立设计库和标准程序包 实体部分: entity pan is port(clk:in std_logic; clr:in std_logic; speak:out std_logic; led7s1:out std_logic_vector(6 downto 0); led7s2:out std_logic_vector(7 downto 0);

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

彩灯循环控制器设计

电子技术课程设计说明书题目:彩灯循环控制器(B) 学生姓名:曹文天 学号:200806010211 院(系):电信学院 专业:电气082 指导教师:张震强 2010 年 12 月 10 日

这次课程设计的题目是彩灯循环控制器。题目的要求是:(1)采用一个半导体数码管作为控制器的显示器,能够自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、1、2、3、4、5、6、7(音乐符号数列)和0、2、4、6、8(偶数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。(2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的0,再显示出1,然后按上述规律变化。 彩灯控制器主要是通过计数器来实现的。这个彩灯控制电路的实质是要产生一系列的数列,包括自然数列、奇数列、偶数列、音乐数列,然后通过一个七段数码管显示出来。这些数列的生成均是通过不断给一个74HC160脉冲,使其从0到9计数并不断循环。再用另一片74HC160作为循环控制,把它设置成四循环计数器,不断输出00到11。当其输出00时为自然数列输出,01时为奇数列输出,10 时为偶数列输出,11 时为音乐数列输出。0到9的数列产生后在不同状态下通过两片74HC153置成所需数列通过CD4511译码连接数码管输出。另外,按照上述方法产生的奇、偶数列相邻两个数显示的时间是自然数列及音乐数列的二倍。则要用JK触发器加74HC153对自然数列及音乐数列的脉冲进行二分频,使得四种数列相邻两个数显示的时间相同。最后脉冲的产生是通过555电路组成多谐振荡器来产生的。我设置脉冲频率为2HZ,这样经分频后在数码管上显示的数字为一秒变一下。最后要把它们有效的结合起来联合工作,就可以实现目的功能。

1_彩灯循环控制器

4.2 应用电路1 彩灯循环控制器的设计与仿真分析 变换的彩灯已经成为人们日常生活不可缺少的点缀。那么这些变化的灯光是如何控制的呢? 这就是我们下面要讨论的课题——彩灯循环控制电路。 在实际工作中,能够实现彩灯循环控制要求的电路形式或方案很多,现给出几种与数字电路内容结合紧密且容易实现的电路方案,以期使读者开阔思路,学习数字电路的设计与制作方法。 1电路设计分析 (1)彩灯循环控制技术指标 ①彩灯能够自动循环点亮。 ②彩灯循环显示且频率快慢可调。 ③该控制电路具有8路以上输出。 (2)方案论证与实现 彩灯循环控制电路主要由3部分组成,其整体框图如图4—5所示。 ①振荡电路。 主要用来产生时间基准信号(脉冲信号)。因为循环彩 灯对频率的要求不高,只需要能产生高低电平,且脉冲 信号的频率可调,所以采用555定时器组成的振荡器, 其输出的脉冲作为下一级的时钟信号。电路如图4-6所不,接上示波器是为了调试振荡器输出信号波形,调试好后就可以去掉示波器,振荡器电路作为一个模块就可以和后续电路相连接了。图4—7为调试好的振荡器输出波形。 ②计数器/译码分配器。 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器CD4017,它是一种用途非常广泛的集成电路芯片。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是00、01、02、…、09依次出现与时钟同步的高电平,宽度等于时钟周期。 CD40 1 7有3个输入端(MR、CP0和~CP 1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1~O9)均为低电平。CP0和~CP l是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP l端输入。设置2个时钟输入端,级联时比较方便,可驱动更多的二极管发光。 CD401 7有10个输出端(O0~O9)和1个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。

彩灯循环控制器的设计与制作

实训三 彩灯循环控制器的设计与制作 一、设计任务书 1、题目 彩灯循环控制器的设计与制作 2、设计任务 1)彩灯能够自动循环点亮 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路以上的输出。 3、设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 4、参考设计方案 方案一: 1)课题的分析 此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路 计数译码驱动电路 显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组 IRT UAL 555定时器组成的振荡电路 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十

进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1------O9)均为低电平。CP0和~CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。 4017有10个输出端(O0—O9)和1 个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1 个进位正脉冲,该进位输出信号可作为下一级的时钟信号。 由此可见,当4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。 4017的管脚仿真图如图(三)所示。其测试电路及波形如图(四)图(五)所示。注意在用multisim 仿真软件时,含有CMOS 时,电源作VDD 。 O 0 3 O 12O 24O 37~CP1 13 M R 15 CP0 14 O 410O 51O 65O 7 6O 89O 911~O 5-9 12 U1 4017BD 图(三)4017的管脚仿真图

可编程彩灯控制器原理及设计

目录 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21

一、课题设计任务及要求 课题名称:可编程彩灯控制器 设计任务及要求: 1、设计脉冲产生电路、图形控制电路和存储电路; 2、用8×8LED点阵作为显示电路,显示内容的动面感要强。 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选。 控制器可有2种控制方式: (1)规则变化:变化节拍有秒和秒,交替出现,每种节拍可有多种花样,各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等。 (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 7、完成电路全部设计后,通过实验箱验证设计课题的正确性。 二、设计目的 本课程设计主要是为了实现可编程彩灯控制的功能,且通过本次电子课程设计,了解电子产品设计的一般过程,掌握电子线路设计的基础方法和一般过程,能灵活运用已学过或者类似的集成块构成电路实现上述功能,还能灵活掌握555电路的应用方法。能用仿真软件对电子线路进行仿真设计,还能用Portel等软件绘制PCB图,掌握了电子电路调试的方法,且能独立解决设计与调试过程中出现的一般问题,并进一步掌握EEPROM的编程方法和应用。

数电课程设计循环彩灯控制器

课 程 设 计 说 明 书 班级:电子信息0901 学号:0501090108 学生姓名:张亚军 指导教师:曹建生 日期:2011.1.04

绪论 自1879年美国科学家爱迪生发明了白炽灯以来,便结束了人类“黑暗“的历史,给人类以光明,创造了巨大的财富。如今灯光已成为人民生活中必不可少的家用品。而相续发展起来的的循环彩灯也成为时代前沿的时尚艺术,它以现代高科技为基础,随着高技术日新月异的发展,其艺术性和表现力都产生了质的飞跃,实现了艺术上的创新与突破,不断创造出令人惊叹、叫绝的视觉艺术效果,给人们带来了美的享受和心灵上的震撼。 伴随着人们生活环境的不断改善和美化,在许多场合可以看到霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点而且价格昂贵。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 利用控制电路可使彩灯按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。再由于人们对于物质生活的要求也在逐渐提高,不光是对各种各样的生活电器的需要,也开始在环境的幽雅方面有了更高的要求。比如日光灯已经不能满足于我们的需要,彩灯的运用已经遍布于人们的生活中,从歌舞厅到卡拉OK包房,从节日的祝贺到日常生活中的点缀。这些不紧说明了我们对生活的要求有了质的飞跃,也说明科技在现实运用中有了较大的发

基于微机原理彩灯控制器的设计

课程设计任务书 学生姓名:专业班级:自动化1071 指导教师:工作单位: 题目:彩灯控制器设计 初始条件: 1.运用所学的微机原理知识; 2.微机原理和接口技术实验室的实验箱设备。 要求完成的主要任务: a)要求至少以三种方式控制LED灯的显示,序号依次为:0,1,2, 3,4,5,6,7(自然数列);1,3,5,7(奇数列);0,2,4, 6(偶数列)。然后又依次显示同上数列,不断循环; b)扩展功能:允许增加新自定义的LED灯的显示模式。 c)打开电源开关,自动清零,即通电后最先显示出自然数列的0, 再显示出1,然后按上述规律变化; d)每个数字的一次显示时间(从数码管显示之时起到消失之时止) 基本相等。 e)严格按照课程设计说明书要求撰写课程设计说明书。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

基于微机原理彩灯控制器的设计 摘要 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。随着电子技术的发展,计算机在现代科学技术的发展中起着越来越重要的作用,多媒体技术、网络技术、智能信息处理技术、自动控制技术、数据挖掘与处理技术等都离不开计算机,而随者人们生活水平的提高,彩灯控制器等优点受到人们的欢迎,在很多场合得到了广泛的应用。当前,许多户外商业广告、公益广告、节日彩灯等大多采用循环灯控制形式。它们通过巧妙构思与创作,可以做到广告、彩灯等作品色彩鲜艳,富有创意,变化形式丰富,起着宣传和美化环境的作用,营造文明亮丽氛围。本课程设计是基于微机原理与接口技术的简单应用。通过硬件与软件的结合,用我们刚刚学过的汇编语言编写程序模拟分析了现代彩灯控制与管理问题的现状,结合彩灯的实际情况阐述了彩灯控制系统的工作原理,给出了一种简单实用的彩灯控制系统的硬件、软件电路设计方案。 2

单片机控制的循环彩灯控制系统的设计

单片机控制的循环彩灯控制系统的设计 一、实习目的 《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。 二、实习内容 利用TDN86/51二合一微机实验教学系统设计一个用8051单片机控制的循环彩灯控制系统。 三、实习器材 TDN86/51二合一微机实验教学系统1台,配套计算机一台,连接导线若干。 四、系统仿真图

五、软件流程图

选择花型 六、程序设计 1、程序是按照模块化设计的,一共分为四大模块 1)基本程序模块 延时程序、设置按键处理程序、主程序初始化及初值设定 2)LED控制程序 3)取消按键抖动程序 4)控制程序 2、设计要求 能输出4种花型,并能由人工进行花型的切换。可以使用按键,也可以使用波动1)开关来实现切换,方法不限。 参考花型: (1)使彩灯从右到左逐一循环点亮。 (2)使彩灯交替闪烁。

(3)使彩灯从左到右逐一点亮,当全部点亮后同时闪烁一次。 (4)使彩灯从两边到中间顺序点亮,直至全部点亮;再将彩灯从中间到两边熄灭,直至全部熄灭。 2)循环彩灯的循环速度可调。 按键要求: (1)启动/暂停。按动一次启动,再按一次暂停。是一个双态转换键。 (2)花型变化。按一次,立即停止当前花型的显示,转换为下一种花型。4种花型可以循环切换。 (3)速度+。按键一次,速度略加快一点。 (4)速度-。按键一次,速度略减慢一点。 3)在LED显示器上显示相应的花型号。 3、系统总体设计 充分利用TDN86/51二合一微机实验教学系统的硬件资源来实现循环灯的功能,因此: (1)利用8051的P1端口接发光二极管,以模拟彩灯控制。 (2)利用8051的P3端口接拨动开关;利用拨动开关提供逻辑0和逻辑1,模拟按键操作,以实现4种花型之间的切换、加快循环速度、减慢循环速度以及暂停循环灯循环等操作,具体定义如表1.1和表1.2所示。 表1.1 拨动开关与对应的花型 表1.2 拨动开关功能表 (3)8051通过8155对LED显示器控制显示相应的花型编号。

彩灯控制器实验报告

电工电子课程设计 实验报告 题目名称:彩灯控制器 指导教师: 姓名: 学号: 专业班级: 日期:

前言 电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它是电气信息类专业学生的重要基础实践课,也是工科专业的必修课,能巩固电子技术的理论知识,提高电子电路的设计水平,加强综合分析问题和解决问题的能力,进一步培养学生的实验技能和动手能力,启发学生的创新意识及创新思维。完成本次课程设计,对进行毕业设计及毕业后从事电子技术方面的工作都有很大的帮助。 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。

目录 前言 1 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21

彩灯循环控制电路

课程设计(论文) 题目名称彩灯控制器 课程名称电子技术课程设计 学生姓名刘标 学号0941201062 系、专业电气工程系09电力一班 指导教师陈源 2011年12月9日

邵阳学院课程设计(论文)任务书 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

指导教师(签字):学生(签字):刘标

邵阳学院课程设计(论文)评阅表 学生姓名刘标学号0941201062 系电气工程系专业班级09级电力一班 题目名称彩灯控制器课程名称电子技术课程设计 二、指导教师评定 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面; 2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。

目录 摘要 (1) 1.选题背景 (2) 1.1 设计要求 (2) 1.2 指导思想 (2) 2.方案论证 (3) 2.1 方案说明 (3) 2.2 方案原理 (3) 3.电路的设计与分析 (4) 3.1 电路的总体设计 (4) 3.2 电路的原理框图 (4) 3.3 单元电路的设计与分析 (5) 3.3.1 多谐震荡电路的设计与分析 (5) 3.3.2 计数器电路的设计与分析 (6) 3.3.3 组合逻辑电路的设计与分析 (7) 3.3.4 重要的元件的分析 (7) 4.电路的安装与调试 (10) 5.设计的总结与体会 (11) 附录:元器件清单 (12) 参考文献 (13)

摘要 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。 数字电子技术课程设计也是培养学生综合运用所学知识,发现、提出、分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。 关键字:彩灯控制器、循环控制、电子电路仿真

相关文档
最新文档