LC压控振荡器课程设计(含程序)

LC压控振荡器课程设计(含程序)
LC压控振荡器课程设计(含程序)

LC压控振荡器课程设计(含程序)武汉理工大学《学科基础课群课设》

摘要

本设计是一个功能完善,性能优良的高频VCO(Voltage Control Oscillation)。主

振器由分立元件组成。电压对频率的控制是通过变容二极管来实现的。即通过改变变容

二极管的反向压降,从而改变变容二极管的结电容,继而改变振荡频率。系统的输出频

,3率范围为10MHz—40MHz。频率稳定度在以上。设计以单片机为控制核心,实现频10

率和电压值的实时测量及显示并控制频率步进,步进有粗调和细调的功能。粗调可实现

较大步进值调节,是调可实现较小步进值调节。该功能使得频率的准确定位十分方便。

本电路在调频部分为提高输出频率精度,采用单片机控制主振器参数,根据产生不同的

频率范围控制不同的主振器参数而达到提高精度和稳定度的目的。为了高频信号的良好

传输,本设计的部分电路板采用了人工刻板使得本设计更加特色鲜明,性能优良。

关键字:VCO 单片机变容二极管 ADC0804

Abstract

This design is a high frequency VCO with comprehensive and perfect function. The main vibrator is made up of several separable components. Voltage control on the frequency is realized by way of varicap diode. That, changing the reverse voltage of diode can adjust the frequency. The frequency of the apparatus can output from 10MHz to 40MHz, and its

I

武汉理工大学《学科基础课群课设》

,3frequency stability can reach .This design uses a single-chip as control core to measure 10

and display the frequency and voltage and regulate frequency. The frequency adjustment includes two procedures -approximate adjusting and slight adjusting, The slight adjusting can realize the precise frequency output. In order to change the precision of frequency to output, the circuit control the main vibrator with a single-chip. In order go gain what we to. we can change the different parameters of the main vibrator. In addition, Some part of the design wield arterial pattern plate. It nape the circuit mare perfect.

Key words: VCO MCU DIODE ADC0804

目录

1. 系统设计 (1)

1.1 设计要求 (1)

1.2 设计思路 (1)

1.3 系统整体方案 (1)

2. 单元电路设计 (2)

II

武汉理工大学《学科基础课群课设》

2.1 压控振荡器的设计 (2)

2.2 锁相环路的设计 (4)

2.3 单片机控制模块设计 (5)

2.4 功率放大器设计 (7)

2.5 峰峰值检测显示电路设计.....................................................................9 3. 软件设计................................................................................................10 4. 仿真结果 (11)

4.1 VCO振荡电路仿真结果 (12)

4.2峰峰值检测电路仿真结果 (13)

4.3频率步进仿真结果..............................................................................13 5. 心得体会................................................................................................15 6. 参考文献................................................................................................16 7. 附录 (17)

III

武汉理工大学《学科基础课群课设》

1. 系统设计

1.1设计要求

(1)任务

设计并制作一个电压控制LC振荡器。

(2)要求

1)振荡器输出为正弦波,波形无明显失真。

2)输出频率范围:15MHz,35MHz。 -33)输出频率稳定度:优于10。

4)输出电压峰-峰值:Vp-p=1V?0.1V。

5)实时测量并显示振荡器输出电压峰-峰值,精度优于10,。

6)可实现输出频率步进,步进间隔为1MHz,100kHz。

(3)说明

1(设计报告必须包括建模仿真结果

1.2设计思路

根据系统的设计要求,拟采用基于单片机控制的系统结构。本系统可分为三大部分:电压控制LC振荡源电路、锁相环稳频步进电路和压控LC振荡源的测控和显示电路。

首先VCO振荡器采用分立元件构成的电容三点式西勒振荡电路,采用数字锁相环式频率合成器技术,由时钟发生电路、鉴频/鉴相器(FD/PD)、可变分频器(?N)和压控振荡器(VCO)组成。利用锁相环,将VCO的输出频率锁定在所需频率上。可以很好的选择所需频率信号,抑制杂散分量,并且避免了大量的滤波器。

控制部分采用单片机来完成,结合AD测量出电压的峰峰值,并利用液晶显示模块显示输出设定的输出频率及电压峰-峰值,另利用键盘模块来完成对频率的步增步减功能。

1.1系统整体方案

由以上的论证可得出系统的基本硬件结构,再结合AT89C51单片机控制,即可构成本系统。系统整体框图如图1.1所示。

液晶显示单片机 PLL VCO 功放

AT89C51

控制单元 Vp-p检测采样键盘 A/D

图1.1 系统方框图

1

武汉理工大学《学科基础课群课设》

2. 单元电路设计

2.1 压控振荡器设计

正弦波振荡器按工作原理可分为反馈式振荡器与负阻式振荡器两大类。反馈式三端LC振荡器比较常用的电路形式又可以分为两大类:电感反馈式三端振荡器与电容反馈式三端振荡器。

电感反馈振荡电路容易起振,但电感反馈支路为感性支路,对高次谐波呈现高阻抗,故对回路中的高次谐波反馈较强,波形失真较大;另外,由于两个电感元件上的分布电容并联于电感元件的两端,工作频率越高,分布电容的影响也愈严重,这就使得电感反馈式三端振荡电路的工作频率不能太高。

电容三端振荡器的优点是输出波形较好,该电路中的不稳定电容(分布电容,器件的结电容等)都是与该电路并联的,因此适当加大回路电容量,就可以减弱不稳定的分布电容对振荡频率的影响,提高了频率稳定度。

本设计采用经典的西勒振荡器,该电路具有频率稳定度好,振荡频率高的优点,使用变容二极管改变电路的谐振频率,由于变容二极管的结电容随反向偏压增加而减少,因此若电路中的电容选用变容二极管作反向运用并加上控制电压,就可改变由LC决定的振荡器的频率。若电感的值一定,则可调频率的范围由变容二极管的容量变化范围决定。设计的仿真电路如图2.1所示。

图2.1 VCO电路图设计

2

武汉理工大学《学科基础课群课设》

C4C5图2.1等效的LC振荡交流回路如图2.2所示。

100 pF1000 pF

u1

100 pFL1 D2

330 nH,

R100 pF图2.2 等效的交流回路 100

由图可得振荡回路中的等效电容为(其中Cd为变容二极管的结电容):

C=33.3+(100*Cd)/(100,Cd) (式2-1)

那么振荡器产生的频率为:

1/2 f =1/2π(L1*C) (式2-2)

VCO产生的振荡频率范围和变容二极管的压容特性有关。图2.3为变容二极管MV209的测试图。可利用图中(a)所示的测量电路来测变容二极管的压容特性。(b)为其压容特性和压控振荡器的压控特性示意图。从图中可见变容二极管的反偏电压从V,V变DminDmax化,对应的输出频率范围是f,f。在预先给定L的情况下,给变容二极管加不同的minmax

电压,测得对应的谐振频率,从而可以计算出Cd的值。减小谐振回路的电感感抗,改变电容容量,不需要并联二极管即可很容易地实现频率扩展。在本设计中通过该方法使输出频率的范围扩展到14,45MHZ。

(a)电容特性测量电路 (b)变容二极管压容特性及压控振荡器的压控特性

图2.3 变容二极管特性测试图

3

武汉理工大学《学科基础课群课设》

2.2 锁相环式频率合成器的设计

锁相环的基本原理框图如图2.4所示。采用锁相环频率合成,可以得到任意频率步

-5进,同时频率稳定度与参考晶振相当,可以达到10。锁相环路主要由晶振、参考分频器、压控振荡器(VCO)、鉴频/鉴相器(FD/PD)、可编程分频器组成。它是应用数字逻辑电路将VCO频率一次或多次降低至鉴相器频率上,再与参考频率在鉴相电路中进行比较,通过低通滤波器取出误差信号来控制VCO的频率,使之锁定在参考频率的稳定度上。

fc 晶振参考分频器鉴相/鉴频器压控振荡器

ft 器

可编程分频器

图2.4 锁相环基本原理框图

2.2.1 PLL频率合成电路设计

频率稳定度是指在一定时间间隔内,频率源的频率准确度的变化,所以实际上是频率不稳定度,他表征频率源维持其工作于恒定频率上的工作能力。各种频率源的频率值由于受内外因素的影响,总是在不断地变化着。为了提高频率的稳定度我们设计了PLL

: 以提高电路性能。本设计的PLL与系统构架框图如图2.5所示

FVCO

Vco隔离放大倍频功率放大器10MHz–40MHz

PDO

MCU控制10K

40464059四分频

10K

显示驱动显示

4060

100K基准

图2.5 PLL系统框架

图示虚线框为锁相环路。它与主振荡器的接口有两个。一是FVCO,该信号的频率就是压控振荡器(VCO)的频率,另一是误差纠正电压PDO。FVCO信号经过

74HCT74四分

4

武汉理工大学《学科基础课群课设》

频后,再经过CD4059N分频,输出10KHz的信号作为鉴相器CD4046的一路输入信号。CD4046对两路输入信号进行比较,当两者频率不相同时,CD4046会输出一个误差电压纠正VCO的频率,直到它的两路输入信号频率相等时,PDO呈高阻态。此时环路称为锁定状态,锁相环路实际上是频率反馈电路。(f/4N=ft/10,

f=N*ft/2.5 ft为给4046提供基准比较频率)。输出频率经倍频fo=2*N*ft/2.5后给功率放大器。由此可见,输出频率的稳定度与ft相当,当ft为100KHz时,由于N 为自然数,所以输出的步进频率为0.1MHz,当N的范围在250到1000变化时,则输出频率可在10MHz到40MHz之间变化。在10MHz—24MHz之间谐振点为

17MHz,在24MHz—40MHz之间谐振点为32MHz。此模块主要是控制CD4059的分频系数N。通过锁相环CD4046处理输出电压来达到控制输出频率FVCO目的。此模块实现方便,电路简洁。本部分还可实现输出频率步进的粗调上升、粗调下降和细调上升、细调下降的步进方式,为频率值的准确调节带来方便。

CD4060时钟发生器,CD4046鉴相器,CD4059N分频器,构成整个锁相环路系统,CD4059为N分频器,它有多种工作模式可供选择。在电路中他工作在模式10,BCD计数的模式。下面举个例子:要在锁定时发射频率是15MHz。根据上面所讲,CD4059的分频器系数N应该为375。在*1000位置0,即D4、C4、B4、A4都断开;在*100位,C3、D3断开,B3、A3接+5V;在*10位,D2断开,C2、B2、A2接+5V;在*1位,D1、B1断开,C1、A1接+5V。此频率设置过程均由单片机控制。图2.6

是锁相环电路与频率控制仿真电路。

5 图2.

6 锁相环电路与频率控制仿真电路

武汉理工大学《学科基础课群课设》

2.3单片机控制模块设计

采用单片机AT89C51,包括键盘模块、显示模块、AD模块等。负责键盘处理、本系统

控制锁相环的可编程分频器,以达到实现频率步进的目的,以及对峰峰值检测电路的A/D输入的数据进行处理。键盘模块是用于控制系统工作的,设计三个按键,一个检测键,接单片机的P3.2口,一个频率上调键,接单片机的P3.6口,一个频率下调键,接单片机的P3.7口。显示模块用1602LCD液晶显示屏显示,显示当前输出的频率以及所测的电压峰峰值。单片机IO口资源分配如图2.7所示: 可编程分频器CD4059

P0 液晶显示电路锁存器控制

控制锁存器 P2 P1 STC89C51 A/D转换电路

P3.2 P3.6 P3.7

独立键盘模块

图2.7 单片机资源分配

单片机模块仿真电路图如图2.8所示:

图2.8 单片机模块仿真电路 6

武汉理工大学《学科基础课群课设》

2.4功率放大器设计

我们利用选频网络作为负载回路的功率放大器。此种放大器电流导通角愈小,放大的效率愈高。甲类功率放大器适合作为中间级或输出功率较小的末级功率放大器。丙类功率放大器通常作为末级功放以获得较大的输出功率和较高的效率。本设计把这两种放大器相结合,以提高本设计的性能和精度。功率放大电路如图2.9所示。利用三极管将压控振荡输出的电压进行放大,后级的三极管工作在丙类状态,可以提高功率放大器的效率。

图2.9 功率放大电路图

本设计选择晶体管3DG12和3DA1。3DG12的主要参数为P,700mw ,

ICM=300mA,CM

UCES?0.6V,hfe?30,ft?150MHZ,AP?6dB。晶体管3DA1的主要参数为

PCM=1W ,ICM,750MA,UCES?1.5,hfe?10,ft=70MHZ,AP?13dB

2.4.1丙类放大器工作状态

为获得较高的效率η及最大输出功率P0,放大器的工作状态选为临界状态,取θ=70度,由下式得谐振回路的最佳负载电阻R0为1.8K

2 R=(U-U)/2P (式2-3) 0ccces0

可得集电极基波电流振幅I为5.8mA,由下列式子 c1m

I,5.8mA (式2-4) C1M

IC0,IC1M,а0(70度),3.5 mA (式2-5)

7

武汉理工大学《学科基础课群课设》

ICM= IC1M,а0(70度)=14 mA (式2-6) 得集电极电流脉冲的最大值Icm及其直流分量Ico,即电源供给的直流功率

PD,UCC*ICO,42mW (式2-7) 集电极的耗散功率

Pcˊ,PD,P0,12 mW (式2-8) 放大器的转换效率

η,P0/PD,71% (式2-9) 计算谐振回路及偶合回路的参数:

丙类功放的输入输出偶合回路均为高频变压器偶合方式,其输入阻抗?Zi?= 86Ω由式

1/2 N3/N1= (RL/R0) (式2-10) 得输出变压器线圈匝数比为0.67取N3=2,

N1=3。

集电极并联谐振回路的电容C=100pF,由式

L=[2.53*10000,(,f,MHZ)?{C}]μH (式2-11) 0PF

得回路电感为10μH。

2.4.2甲类功率放大器性能参数

由丙类功率放大器的计算结果可得甲类功放的输出功率PH′应等于丙类功放的输入功率Pi,输出负载RH′应等于丙类功放的输入阻抗?Zi?。设计变压器效率η为0.8。集电极输出功率PC,PH′,η,31mW,取放大器的静态工作电流

ICQ,ICM,7mA,由下式计算出最侍谐振电阻RH为1.3K

P=UI/2 (式2-12) CC1MC1M

UCM,UCC,ICQ*RE1,UCES (式2-13)得RE1,357,由

N1/N2,ηBRH,RHˊ=3 (式2-14) 取N2,2,则N1,6 。本级功放采用3DG12晶体管。

8

武汉理工大学《学科基础课群课设》

2.5 峰-峰值检测显示电路的设计

该电路由二极管和电容构成。其原理图如2.10所示。输入电压加到该电路中,正半周时二极管导通,对电容充电,对应一个电压值;负半周时二极管截止,

电容放电。因充电时间小,而放电时间常数很大,故运放输入端加进的是一个脉动直流源。经直流放大器后,输出一个大约几伏的直流电压U。U与给出峰-峰值电压的关系曲线通过实验00

得到,如图2.10(b)所示。然后将输出电压经AD转换后送入单片机后就可以直接测得电压峰-峰值。

单片机交流变直流峰 A/D控制值检测电路转换

(a) 峰-峰值测量电路 (b) Uo ,Vp-p曲线

图2.10 峰-峰值测量原理

峰值检测电路图如图2.11所示:

图2.11 峰值检测电路

9

武汉理工大学《学科基础课群课设》 3. 软件设计

本设计软件分为电压测量显示,频率及电压显示,步进控制三部分。其中,主程序流程图如图3.1所示:

图3.1 主程序流程图

10

武汉理工大学《学科基础课群课设》电压测量程序流程图如图3.2所示:

图3.2 子程序流程图频率步进程序流程图如图3.3所示: 初始化

显示初始频率值

N

是否有键按下

Y

显示

增加键S2 减小键S3

改变可编程分频器的值

图3.3 频率步进流程图

11

武汉理工大学《学科基础课群课设》 4. 仿真结果

4.1 VCO振荡电路仿真结果

所产生的仿真波形如图4.1所示:

图4.1 VCO振荡波形

由图可见,刚接通电源时电路发生自激振荡,电压幅值波动很大,随后趋于稳定。

且波形为标准的正弦波,稳定后的振荡输出波形如图4.2所示:

图4.2 稳定后的振荡输出波形

12

武汉理工大学《学科基础课群课设》

4.2 峰峰值检测电路仿真结果

正弦波信号经过设计的峰值转换电路,输入输出信号波形如图4.3所示:

图4.3 峰峰值检测电路仿真波形

可见,经转换后,电压不断变化的正弦波变成了与其幅值相等的稳定的直流信号,这样通过AD采样后,即可求得信号的峰峰值。

4.3 频率步进波形仿真

通过按键,对频率进行递增或递减,观察递进频率值。初始时,输出波形如图4.4所示:

图4.4 初始时输出波形

13

武汉理工大学《学科基础课群课设》

可发现,波形周期约为67ns,即14.92MHz,按递增键,得输出波形如图4.5所示:

图4.5 按下递增键后的输出波形

观察得,输出波形的周期变为63.43ns,即15.8MHz,步进0.8MHz,与设计要求有一定的误差。

4.4 峰峰值及输出频率显示

初始时,输出频率为15MHz,电压测量为990mV,如图4.6所示:

图4.6 峰峰值及输出频率LCD显示

按下频率步增S2键,频率输出变为16MHz,如图4.7所示,可见步长为

1MHz。

图4.7 按下S2键后的显示

14

武汉理工大学《学科基础课群课设》

5. 心得体会

电子技术的快速发展,使一些新型电子器件和集成芯片应用越来越广泛,电子系统

ro teus和Multisim等软件的运用使得电子设计电路的功能越来越强大,电子设计软件P

更加方便,而我做为通信工程专业的学生,应该熟练掌握各种电路编辑软件,在这次的设计中我深刻的体会到电子产品的快乐,当我看到显示屏上仿真正确的波形出现时,感觉到自己的进步,这意味着我的设计成功了,几天努力的方案设计,和实践工作我从中熟练各种电子的技术和强大,并不断地总结经验。这次课设对我们来说非常重要,好的开头是成功的第一步,只有经历过,才会懂得过程的重要性。

此外,此次课设所涉及的知识面相当广泛,对我是一个重要的考验和历练。设计电压控制LC振荡器,包含了基本的模电知识、数电知识、高频原理,同样也需要掌握单片机知识、EDA知识。无论是对于软件的设计还是硬件的设计,都有着相当高的要求。

这次课程设计的多样化可以开发思维,鼓励创新,同时也检验了自己对所学知识的牢固性,没有扎实的理论基础是不可能有自己的设计方案的,此次设计也让我有了不小的成就感。使我获益匪浅。

15

武汉理工大学《学科基础课群课设》

6. 参考文献:

1 高吉祥,黄智伟,陈和.高频电子线路[M]. 北京:电子工业出版社,2003年第一版 2.黄智伟.无线数字收发电路设计[M]. 北京:电子工业出版社,2003年,

高频压控振荡器设计

前言 (1) 1高频压控振荡器设计原理压控振荡器 (2) 1.1工作原理 (2) 1.2变容二极管压控振荡器的基本工作原理 (2) 2高频压控振荡器电路设计 (4) 2.1设计的资料及设备 (4) 2.2变容二极管压控振荡器电路的设计思路 (4) 2.3变容二极管压控振荡器的电路设计 (4) 2.4实验电路的基本参数 (5) 2.5实验电路原理图 (6) 3高频压控振荡器电路的仿真 (7) 3.1M ULTISIM软件简介 (7) 3.2M ULTISIM界面介绍 (8) 3.2.1电路仿真图 (9) 3.2.2压控振荡器的主要技术指标 (9) 3.3典型点的频谱图 (9) 4高频压控振荡器电路实现与分析 (16) 4.1实验电路连接 (16) 4.2实验步骤 (16) 4.3实验注意事项 (18) 4.4硬件测试 (19) 5心得体会 (21) 参考文献 (22)

压控振荡器广泛应用于通信系统和其他电子系统中,在LC振荡器决定振荡器的LC 回路中,使用电压控制电容器(变容管),可以在一定的频率范围内构成电调谐振荡器。这种包含有压控元件作为频率控制器件的振荡器就称为压控振荡器。它广泛应用与频率调制器、锁相环路以及无线电发射机和接收机中。 压控振荡器是锁相环频率合成器的重要组成单元,在很大程度上决定了锁相环的性能。在多种射频工艺中,COMS工艺以高集成度、低成本得到广泛的应用。 压控振荡器(VCO)在无线系统和其他必须在一个范围的频率内进行调谐的通信系统中是十分常见的组成部分。许多厂商都提供VCO产品,他们的封装形式和性能水平也是多种多样。现代表面的贴装的射频集成电路(RFIC)VCO继承了近百来工程研究成果。在这段历史当中。VCO技术一直在不断地改进中,产品外形越来越小而相位噪声和调谐线性度越来越好。 对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄;RC压控振荡器的频率稳定度低而调频范围宽,LC压控振荡器居二者之间。 压控振荡器可分为环路振荡器和LC振荡器。环路振荡器易于集成,但其相位噪声性能比LC振荡器差。为了使相位噪声满足通信标准的要求,这里对负阻RC压控振荡器进行了分析。

晶体振荡器课程设计

1石英晶体及其特性 (1) 1.1 石英晶体简介............................................... . ... 1.2石英晶体的阻抗频率特性...................................... 1 ... 2晶体管的部工作原理 (3) 3.晶体振荡器电路的类型及其工作原理 (4) 3.1串联型谐振晶体振荡器........................................ 4…??… 3.2并联谐振型晶体振荡器........................................ 6…??… 3.3泛音晶体振荡器................................................ 8 .. 4 确定工作点和回路参数(以皮尔斯电路为例) (10) 4.1主要技术指标 (10) 4.2确定工作点 (10) 4.3交流参数的确定 (11) 5提高振荡器的频率稳定度........................................... 1 2 6.总结 (13) 参考文献:........................................................ 1.4

Word 文档

1石英晶体及其特性 1.1石英晶体简介 石英是矿物质硅石的一种,化学成分是Sio2,形状是呈角锥形的六棱结晶体,具有各向异性的物理特性。按其自然形状有三个对称轴,电轴X,机械轴丫光轴Z。石英谐振器中的各种晶片,就是按与各轴不同角度,切割成正方形、长方形、圆形、或棒型的薄片,如图1的AT、BT、CT、DT 等切型。不同切型的晶片振动型式不,性能不同 1.2石英晶体的阻抗频率特性 石英谐振器的电路符号和等效电路如图121。C0称为静态电容,即晶体不振动时两极板间的等效电容,与晶片尺寸有关,一般约为几到几十pF。晶体作机械振动时的惯性以Lq、弹性用Cq振动时因磨擦造成的损耗用Rq来等效,它们的数值与晶片切割方位、形状和大小有关, 一般Lq为10 3102H,Cq为10 410 1pF,Rq 在几一几百欧之间。它

压控振荡器原理和应用说明

压控振荡器(VCO 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj 随反向偏置电压VT 变化而变化的特点(VT=OV 时Cj 是最大值,一 般变容管VT 落在2V-8V 压间,Cj 呈线性变化,VT 在8-10V 则一般为非线性变化,如图1 所示,VT 在10-20V 时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当 改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO 。 压控振荡器的调谐电压 VT 要针对所要求的产品类别及典型应用环境(例如用户提供调谐要 求,在锁相环使用中泵源提供的输出控制电压范围等 )来选择或设计,不同的压控振荡器, 对调谐电压VT 有不同的要求,一般而言,对调谐线性有较高要求者, VT 选在1-10V ,对宽 频带调谐时,VT 则多选择1-20V 或1-24V 。图1为变容二极管的V — C 特性曲线。 图1变容二极管的V — C 特性曲线 三压控振荡器的基本参数 1工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“ MHZ 或 “GHz 。 2输出功率:在工作频段内输出功率标称值,用 Po 表示。通常单位为“ dBmW 。 3输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△ P 表示,通常 单位为“ dBmW 。 4调谐灵敏度:定义为调谐电压每变化1V 时,引起振荡频率的变化量,用 MHz/ △ VT 表示,在线性区,灵敏度最咼,在非线性区灵敏度降低。 5谐波抑制:定义在测试频点,二次谐波抑制 =10Log (P 基波/P 谐波)(dBmw )。 6推频系数:定义为供电电压每变化1V 时,引起的测试频点振荡频率的变化量,用 MHz/V 表 示。 7相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振 f0为fm 的带内,各杂散能量的总和按fin 平均值+15f0点频谱能量之比,单位为dBC/Hz 相位噪 声特点是频谱能量集中在f0附近,因此fm 越小,相噪测量值就越大,目前测量相噪选定 WV) 0 8 10

高频课设电容三端式振荡器

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 电容三端式振荡器 初始条件: 电容三端式振荡器原理,Multisim软件 要求完成的主要任务: (1)设计任务 根据电容三端式振荡器的原理,设计电路图,并在multisim软件仿真出波形结果。 (2)设计要求 ①正常工作状况时的波形图; ②起振条件的仿真,要求改变偏置电阻、相位电容和电源电压值,再观察起振波形和振荡电压的变化情况。 时间安排: 1、2014 年11月17 日集中,作课设具体实施计划与课程设计报告格式的要求说明。 2、2014 年11月17 日,查阅相关资料,学习基本原理。 3、2014 年11月18 日至2014 年11月20日,方案选择和电路设计。 4、2014 年11月20 日至2014 年11月21日,电路仿真和设计说明书撰写。 5、2014 年11月23 日上交课程设计报告,同时进行答辩。 课设答疑地点:鉴主13楼电子科学与技术实验室。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (1) Abstract (2) 1 克拉泼振荡器原理 (3) 1.1 克拉泼振荡器产生的原因 (3) 1.2 克拉泼振荡器电路分析 (3) 1.3 克拉泼振荡器起振条件 (4) 1.3.1 相位条件 (4) 1.3.2振幅条件 (4) 1.4 克拉泼振荡器的振荡频率 (5) 2 克拉泼振荡器仿真分析 (6) 2.1 正常起振的电路图 (6) 2.2改变偏置电阻的仿真 (7) 2.3改变相位电容的仿真 (8) 2.4改变电源大小的仿真 (8) 3 心得体会 (9) 参考文献 (10)

压控振荡器

压控振荡器 一.基本原理 信号的频率取决于输入信号电压的大小,因此称为“压控振荡器”。其它影响压控振荡器输出信号的参数还VCO(Voltage ControlledOscillator)(压控振荡器)是指输出信号的频率随着输入信号幅度的变化而发生相应变化的设备,它的工作原理可以通过公式(5-1)来描述。 (5-1) 其中,u(t)表示输入信号,y(t)表示输出信号。由于输入信号的频率取决与输入信号的电压的变化,因此称为“压控振荡器”。其他影响压控振荡器输出信号 的参数还有信号的幅度A c ,振荡频率f c ,输入信号灵敏度k c ,以及初始相位。 压控振荡器的特性用输出角频率ω0与输入控制电压uc之间的关系曲线(图1)来表示。图中,uc为零时的角频率ω0,0称为自由振荡角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。 压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄,RC压控振荡器的频率稳定度低而调频范围宽,LC 压控振荡器居二者之间。

在MATLAB中压控振荡器有两种:离散时间压控振荡器和连续时间压控振荡器,这两种压控振荡器的差别在于,前者对输入信号采用离散方式进行积分,而后者则采用连续积分。本书主要讨论连续时间压控振荡器。 为了理解压控振荡器输出信号的频率与输入信号幅度之间的关系,对公式(5-1)进行变换,取输出信号的相角Δ为 对输出信号的相角Δ求微分,得到输出信号的角频率ω和频率f分别为: ω=2πf c+2πk c u(t) (5-3) (5-4) 从式(5-4)中可以清楚地看到,压控振荡器输出信号的频率f与输入信号幅度u(t)成正比。当输入信号u(t)等于0时,输出信号的频率f等于f c;当输入信号u(t)大于0时,输出信号的频率f高于f c;当输入信号u(t)小于0时,输出信号的频率f低于f c。这样,通过改变输入信号的幅度大小就可以准确地控制输出信号的频率。 二.程序及结果分析 定义一个锯齿波信号,频率是20HZ,幅度范围在0V和1V之间。现在用此信号 =20HZ,输入信号作为压控振荡器的输入控制信号,该压控振荡器的振荡频率f c 灵敏度,初始相位。使用MATLAB求得输出的压控振荡信号。MATLAB 程序如下: %MATLAB实现压控振荡器 clear all; clc; t0=0.15;%定义压控信号持续时间 ts=0.0001;%定义信号采样率 fc=50;%定义振荡频率 t=[0:ts:t0];%时间矢量 u0=20*t(1:length(t)/3);%定义压控信号(单周期) u=[u0,u0,u0,0];%定义压控信号(3个周期) Ac=1;%定义振幅 kc=0.1;%定义输入信号灵敏度 fi=0;%定义初始相位 %对压控信号进行积分 u_int(1)=0;%定义压控信号积分初值 for i=1:length(u)-1%进行离散积分 u_int(i+1)=u(i)+u_int(i);

lc压控振荡器实验报告doc

lc压控振荡器实验报告 篇一:实验2 振荡器实验 实验二振荡器 (A)三点式正弦波振荡器 一、实验目的 1. 掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计算。 2. 通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影响。 3. 研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1. 熟悉振荡器模块各元件及其作用。 2. 进行LC振荡器波段工作研究。 3. 研究LC振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4. 测试LC振荡器的频率稳定度。 三、基本原理 图6-1 正弦波振荡器(4.5MHz) 【电路连接】将开关S2的1拨上2拨下, S1全部断开,由晶体管Q3和C13、C20、C10、CCI、L2构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI可用来改变振 荡频率。振荡频率可调范围为:

?3.9799?M??f0??? ? ?4.7079?M? CCI?25p CCI? 5p 调节电容CCI,使振荡器的频率约为4.5MHz 。振荡电路反馈系数: F= C1356 ??0.12 C20470 振荡器输出通过耦合电容C3(10P)加到由Q2组成的射极跟随器的输入端,因C3容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号Q1调谐放大,再经变压器耦合从J1输出。 四、实验步骤 根据图6-1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 1. 调整静态工作点,观察振荡情况。 1)将开关S2全拨下,S1全拨下,使振荡电路停振 调节上偏置电位器RA1,用数字万用表测量R10两端的静态直流电压UEQ(即测量振荡管的发射极对地电压UEQ),使其为5.0V(或稍小,以振荡信号不失真为准),这时表明振荡管的静态工作点电流IEQ=5.0mA(即调节W1使

压控振荡器的设计与仿真.

目录 1 引言 (2) 2 振荡器的原理 (5) 2.1 振荡器的功能、分类与参数 (5) 2.2 起振条件 (9) 2.3 压控振荡器的数学模型 (10) 3 利用ADS仿真与分析 (11) 3.1 偏置电路的的设计 (12) 3.2 可变电容VC特性曲线测试 (13) 3.3 压控振荡器的设计 (15) 3.4 压控振荡器相位噪声分析 (18) 3.5 VCO振荡频率线性度分析 (23) 4 结论 (24) 致谢 (25) 参考文献 (25)

压控振荡器的设计与仿真 Advanced Design System客户端软件设计 电子信息工程(非师范类)专业 指导教师 摘要:ADS可以进行时域电路仿真,频域电路仿真以及数字信号处理仿真设计,并可对设计结果进行成品率分析与优化,大大提高了复杂电路的设计效率。本论文运用ADS仿真软件对压控振荡器进行仿真设计,设计出满足设计目标的系统,具有良好的输出功率,相位噪声性能及震荡频谱线性度。本论文从器件选型开始,通过ADS软件仿真完成了有源器件选型,带通滤波器选型,振荡器拓扑结构确定,可变电容VC特性曲线,瞬态仿真及谐波平衡仿真。实现了准确可行的射频压控振荡器的计算机辅助设计。关键字:压控振荡器,谐波平衡仿真,ADS 1 引言 振荡器自其诞生以来就一直在通信、电子、航海航空航天及医学等领域扮演重要的角色,具有广泛的用途。在无线电技术发展的初期,它就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接收设备的基本部件。随着电子技术的迅速发展,振荡器的用途也越来越广泛,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表中采用频率稳定度很高的振荡电路作为定时部件等。尤其在通信系统电路中,压控振荡器(VCO)是其关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要地位。 人们对振荡器的研究未曾停止过。从早期的真空管时代当后期的晶体管时代,无论是理论上还是电路结构和性能上,无论是体积上还是制作成本上无疑都取得了飞跃性的

Proteus与cadence实训(高频正弦波振荡器)

课程设计任务书 学生姓名:专业班级:电子1001班指导教师:韩屏工作单位:信息工程学院题目: 高频晶体正弦波振荡器 初始条件: 计算机、Proteus软件、Cadence软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习Proteus软件和Cadence软件。 (2)设计一个高频晶体正弦波振荡器电路。 (3)利用Cadence软件对该电路设计原理图并进行PCB制版,用Proteus 软件对该电路进行仿真。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2013.11.11做课设具体实施安排和课设报告格式要求说明。 2013.11.11-11.16学习Proteus软件和Cadence软件,查阅相关资料,复习所设计内容的基本理论知识。 2013.11.17-11.21对高频晶体正弦波振荡器电路进行设计仿真工作,完成课设报告的撰写。 2013.11.22 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 目录 (1) 摘要 (2) 一、工作原理说明 (3) 1.1、振荡器概念 (3) 1.2、静态工作点的确定 (3) 1.3、振荡器的起振检查 (4) 二、电路设计 (5) 2.1、正弦波振荡器的设计 (5) 2.2、电路功能的仿真 (7) 2.3、Cadence部分原理图设计 (9) 三、PCB版图设计 (15) 四、心得体会 (18) 五、参考文献 (19)

压控LC电容三点式振荡器设计及仿真

实验二压控LC 电容三点式振荡器设计及仿真 一、实验目的 1、了解和掌握LC 电容三点式振荡器电路组成和工作原理。 2、了解和掌握压控振荡器电路原理。 3、理解电路元件参数对性能指标的影响。 4、熟悉电路分析软件的使用。 二、实验准备 1、学习LC 电容三点式西勒振荡器电路组成和工作原理。 2、学习压控振荡器的工作原理。 3、认真学习附录相关内容,熟悉电路分析软件的基本使用方法。 三、设计要求及主要指标 1、采用电容三点式西勒振荡回路,实现振荡器正常起振,平稳振荡。 2、实现电压控制振荡器频率变化。 3、分析静态工作点,振荡回路各参数影响,变容二极管参数。 4、振荡频率范围:50MHz~70MHz,控制电压范围3~10V。 5、三极管选用MPSH10(特征频率最小为650MHz,最大IC 电流50mA,可 满足频率范围要求),直流电压源12V,变容二极管选用MV209。 四、设计步骤 1、整体电路的设计框图

整个设计分三个部分,主体为LC 振荡电路,在此电路基础上添加压控部分,设计中采用变容二极管MV209 来控制振荡器频率,由于负载会对振荡电路的 频 率产生影响,所以需要添加缓冲器隔离以使振荡电路不受负载影响。 2、LC 振荡器设计 首先应选取满足设计要求的放大管,本设计中采用MPSH10 三极管,其特征频率f T=1000MHz。LC 振荡器的连接方式有很多,但其原理基本一致,本实验中采用电容三点式西勒振荡电路的连接方式,该振荡电路在克拉泼振荡电路的基础上进行了细微的改良,增加了一个与电感L 并联的电容,主要利用其改变频率而不对振荡回路的分压比产生影响的特点。电路图如下所示:

三点式正弦波振荡器(高频电子线路实验报告)

三点式正弦波振荡器 一、实验目的 1、 掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计 算。 2、 通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影 响。 3、 研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1、 熟悉振荡器模块各元件及其作用。 2、 进行LC 振荡器波段工作研究。 3、 研究LC 振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4、 测试LC 振荡器的频率稳定度。 三、实验仪器 1、模块 3 1块 2、频率计模块 1块 3、双踪示波器 1台 4、万用表 1块 四、基本原理 实验原理图见下页图1。 将开关S 1的1拨下2拨上, S2全部断开,由晶体管N1和C 3、C 10、C 11、C4、CC1、L1构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI 可用来改变振荡频率。 ) 14(121 0CC C L f += π 振荡器的频率约为4.5MHz (计算振荡频率可调范围) 振荡电路反馈系数 F= 32.0470 220220 3311≈+=+C C C 振荡器输出通过耦合电容C 5(10P )加到由N2组成的射极跟随器的输入端,因C 5容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号经

N3调谐放大,再经变压器耦合从P1输出。 图1 正弦波振荡器(4.5MHz ) 五、实验步骤 1、根据图1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 2、研究振荡器静态工作点对振荡幅度的影响。 (1)将开关S1拨为“01”,S2拨为“00”,构成LC 振荡器。 (2)改变上偏置电位器W1,记下N1发射极电流I eo (=11 R V e ,R11=1K)(将万用表红 表笔接TP2,黑表笔接地测量V e ),并用示波测量对应点TP4的振荡幅度V P-P ,填于表1中,分析输出振荡电压和振荡管静态工作点的关系,测量值记于表2中。 3、测量振荡器输出频率范围 将频率计接于P1处,改变CC1,用示波器从TP8观察波形及输出频率的变化情况,记录最高频率和最低频率填于表3中。 六、实验结果 1、步骤2振荡幅度V P-P 见表1.

晶体振荡器与压控振荡器

晶体振荡器与压控振荡器 一、实验目的: 1.掌握高频电子电路的基本设计能力及基本调试能力,并在此基础上设计并联变换的晶体正弦波振荡器。 2.比较LC振荡器和晶体振荡器的频率稳定度。 二、实验内容: 1.熟悉振荡器模块各元件及其作用。 2.分析与比较LC振荡器与晶体振荡器的频率稳定度。 3.改变变容二极管的偏置电压,观察振荡器输出频率的变化。 三、基本原理: 1.下图是石英晶体谐振器的等效电路: 图中C0是晶体作为电介质的静电容,其数值一般为几个皮法到几十皮法。L q、C q、r q是对应于机械共振经压电转换而呈现的电参数。r q是机械摩擦和空气阻尼引起的损耗。由图3-1可以看出,晶体振荡器是一串并联的振荡回路,其串联谐振频率f q和并联谐振频率f0分别为 f q=1/2πLqCq,f0= f q Co 1 Cq/ 图1 晶体振荡器的等效电路 当W<W q或W> W o时,晶体谐振器显容性;当W在W q和W o之间,晶体谐振器等效为一电感,而且为一数值巨大的非线性电感。由于Lq很大,即使在W q处其电抗变化率也很大。其电抗特性曲线如图所示。实际应用中晶体工作于W q~W o之间的频率,因而呈现感性。

图2 晶体的电抗特性曲线 设计内容及要求 2 并联型晶体振荡器 图3 c-b型并联晶体振荡器电路 图 4 皮尔斯原理电路图 5 交流等效电路

C3用来微调电路的振荡频率,使其工作在石英谐振器的标称频率上,C1、C2、C3串联组成石英晶体谐振器的负载电容C L上,其值为 C L=C1C2C3/(C1C2+C2C3+C1C3) C q/ (C0+C L)<<1 3.电路的选择: 晶体振荡电路中,与一般LC振荡器的振荡原理相同,只是把晶体置于反馈网络的振荡电路之中,作为一感性元件,与其他回路元件一起按照三端电路的基本准则组成三端振荡器。根据实际常用的两种类型,电感三点式和电容三点式。由于石英晶体存在感性和容性之分,且在感性容性之间有一条极陡峭的感抗曲线,而振荡器又被限定在此频率范围内工作。该电抗曲线对频率有极大的变化速度,亦即石英晶体在这频率范围内具有极陡峭的相频特性曲线。所以它具有很高的稳频能力,或者说具有很高的电感补偿能力。因此选用c-b型皮尔斯电路进行制作。 图 6 工作电路 4.选择晶体管和石英晶体 根据设计要求,

(完整)高频课程设计_LC振荡器_西勒

高频电子线路课程设计报告设计题目:LC正弦波振荡器的设计 2014年1月10日

目录 一、设计任务与要求 (1) 二、设计方案 (1) 2.1电感反馈式三端振荡器 (1) 2.2电容反馈式三端振荡器 (2) 2.3克拉波电路振荡器 (3) 2.4西勒电路振荡器 (4) 三、设计内容 (5) 3.1LC振荡器的基本工作原理................................................ . (5) 3.2西勒电路原理图及分析 (6) 3.2.1振荡原理 (7) 3.2.2静态工作点的设置 (7) 3.3西勒振荡器原理图 (8) 3.4 仿真结果与分析 (8) 3.4.1软件简介 (8) 3.4.2进行仿真 (9) 3.4.3仿真结果分析 (11) 四、总结 (11) 五、主要参考文献 (13)

一、设计任务与要求 在本课程设计中,为了熟悉《高频电子线路》课程,着眼于LC正弦波振荡器的分析和研究。通过对电感反馈式三端振荡器(哈特莱振荡器)、电容反馈式三端振荡器(考毕兹振荡器)以及改进型电容反馈式振荡器(克拉波电路和西勒电路)的分析、对比和讨论,以达到课程设计的目的和要求。在课程设计中,为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我选用的仿真软件是Multisim11.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。 本课程设计中要求设计的正弦波振荡器能够输出稳定正弦波信号,输出频率可调范围为10~20MHz。本设计中所涉及的仿真电路是比较简单的。但通过仿真得到的结论在实际的类似电路中有很普遍的意义。 二、设计方案 通过对高频电子线路相关知识的学习,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路和西勒电路)等。其中互感反馈易于起振,但稳定性差,适用于低频,而电容反馈三点式振荡器稳定性好,输出波形理想,振荡频率可以做得较高。由所学知识可知,西勒电路具有该电路频率稳定性非常高,振幅稳定,频率调节方便,适合做波段振荡器等优点。所以在本设计中拟采用并联改进型的西勒电路振荡器。 下面对几种振荡器进行分析论证: 2.1电感反馈式三端振荡器 电感三点式振荡器又称哈特莱振荡器,其原理电路如图所示:

时基电路构成的压控振荡器

555时基电路构成的压控振荡器 摘要:555电路是集模拟电路和数字电路于一体的集成电路,是在上世纪70年代,为制作定时器而被设计制造的。该电路具有灵活的引出端脚,使用者尽用其能,将其广泛运用于电子行业的各个领域内,并且该电路在科研、仪表、测量、控制等诸多领域内也得到了广泛的应用。本文主要从原理和应用两个方面讲述由555无稳态多谐振荡器电路构成的压控振荡器。 关键词: 1、引言 如今,555时基电路得到如此广泛的应用,这得益于该电路本身独特的优越性。按照555电路的应用特点,以数字电路的分类方法作为基本方式,可将其分为:多谐振荡器的应用方式、单稳态电路的应用方式、双稳态(R-S触发器)电路的应用方式以及施密特电路的应用方式。本文要讨论的压控振荡器是一种结构特殊的多谐振荡器,全称为电压控制的多谐振荡器,简称VCO。由555电路构成的压控振荡器具有电路简单、成本低、产生脉冲波形的线性度好等特点,因此压控振荡器电路在锁相技术、A/D转换、脉冲调制及遥测技术中有广泛的用途,是一种十分重要的电路。. 2、555电路原理图]1[ 图1、原理电路图

整个原理电路图有5个部分组成,这5个部分可以分为三大部分进行解释:(1)分压器与比较器 三个等值电阻(每个5KΩ)串联进行分压,将电源电压分别分压为U CC/3和2U CC/3。其中2U CC/3加至电压比较器A1的同相输入端,作为它的参考电压;U CC/加之电压比较器A2的反相输入端,作为它的参考电压。A1、A2是由两个差分电路组成的电压比较器,相当于两个运算放大器的输入电路。这两个参考电压决定了555电路的输入特性。 上述原理电路图有两个输入端,分别称为触发端(TR、2脚)和阀值端(TH、6脚),它们分别是A2的同相输入端和A1的反相输入端。根据电压比较器的工作原理:当对输入端2脚上加上低于U CC/3的输入电压时,比较器A2输出低电平;当加上高于U CC/3的输入电压时,A2输出高电平。对于输入端6脚,当对其加上低于2U CC/3的输入电压时,A1输出高电平;当对其加上高于2U CC/3的输入电压时,A1输出低电平。 (2)基本R-S触发器]1[ 在数字电路中,触发器分为同步R-S触发器和基本R-S触发器,555电路中使用 是基本R-S触发器。这种触发器由两个非门交叉连接组成,它的特点是需要低电平触发,即只有在输入端加以低电平或负脉冲,触发器才能翻转。 它的逻辑功能是:当R=0,S=1时,不管触发器原来是什么状态,都会被置成低电平0的状态;当R=1,S=0时,触发器被置成高电平1的状态;当R=1,S=1时,触发器保持原状态不变;当R=0,S=0时,触发器的状态不定,不过这种状态是不允许出现的,也是不可能出现的。 (3)输出级]2[ 为了提高555电路带负载的能力,使其能够直接驱动一定功率的负载,并且隔离负载对定时器的影响,在它的R-S触发器之后加入了一级输出级G3。该输出级G3将R-S 触发器的输出电平进行反相,并同时给予一定的功率放大后输出,这就使得555电路可以直接驱动小型继电器、扬声器等。 (4)放电电子开关]3[ 在由555电路组成的定时定路及各类触发器和振荡器中,它们的工作状态都和电容器的充、放电有关。例如在定时电路中,通常把上比较器的输入端TH(6脚)接到只电容C的正极。这个电容又通过一只串联电阻R接到电源的正极。工作时,电源通过电阻R向电容C充电,当电容充电使其电压达到阀值电平后,比较器A1输出低电平,触发器R-S翻转,它的输出端变为高电平,经过一级反相器反相为低电平后作为一种控制信号输出,实现对电路的一种工作状态的控制。 ( 5 ) 555定时器的基本功能]4[ ①R=0,无论其他输入为何值(用×表示),必有Q=1,U O为低电平0,T D饱和导通,故R端称为置0端或复位端。 ②R=1,U TH>2U CC/3、U TR>U CC/3时,U O1为低电平,U O2为高电平,使Q=1、

高频实验2:LC与晶体振荡器

实验二:LC与晶体振荡器 一.实验目的 1.熟悉电子元器件和高频电子线路实验系统。 2.掌握电容三点式LC振荡电路的基本原理,熟悉其各元件功能。 3.熟悉静态工作点IEQ对振荡器振荡幅度和频率的影响。 4.熟悉LC谐振回路的电容变化对振荡器振荡频率的影响。 二.实验预习要求 1.做本实验时应具备的知识点: * 三点式LC振荡器 * 克拉泼电路 * 静态工作点值对振荡器工作的影响 2.做本实验时所用到的仪器: * LC与晶体振荡模块实验板 * 双踪示波器 * 频率计 * 万用表 三.实验电路原理 1.概述 LC振荡器实质上是满足振荡条件的正反馈放大器。LC振荡器是指振荡回路是由LC元件组成的。从交流等效电路可知:由LC振荡回路引出三个端子,分别接振荡管的三个电极,而构成反馈式自激振荡器,因而又称为三点式振荡器。如果反馈电压取自分压电感,则称为电感反馈LC振荡器或电感三点式振荡器;如果反馈电压取自分压电容,则称为电容反馈LC振荡器或电容三点式振荡器。 在几种基本高频振荡回路中,电容反馈LC振荡器具有较好的振荡波形和稳定度,电路形式简单,适于在较高的频段工作,尤其是以晶体管极间分布电容构成反馈支路时其振荡频率可高达几百MHZ~GHZ。 2.LC振荡器的起振条件 一个振荡器能否起振,主要取决于振荡电路自激振荡的两个基本条件,即:振幅起振、平衡条件和相位平衡条件。 3.C振荡器的频率稳定度 频率稳定度表示:在一定时间、或一定温度、电压等变化范围内振荡频率的相对变化程度,常用表达式:Δf0/f0来表示(f0为所选择的测试频率;Δf0为振荡频率的频率误差,Δf0=f02-f01;f02和f01为不同时刻的f0),频率相对变化量越小,表明振荡频率的稳定度越高。由于振荡回路的元件是决定频率的主要因素,所以要提高频率稳定度,就要设法提高振荡回路的标准性,除了采用高稳定和高Q值的回路电容和电感外,其振荡管可以采用部分接入,以减小晶体管极间电容和分布电容对振荡回路的影响,还可采用负温度系数元件实现温度补偿。 4、LC振荡器的调整和参数选择 以实验采用的改进型电容三点振荡电路(西勃电路)为例 (1)静态工作点的调整 合理选择振荡管的静态工作点,对振荡器工作的稳定性及波形的好坏,有一定的影响,偏置电路一般采用分压式电路,如实验电路图12-1所示。

压控振荡器原理和应用说明

压控振荡器(VCO) 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj随反向偏置电压VT变化而变化的特点(VT=0V时Cj是最大值,一般变容管VT落在2V-8V压间,Cj呈线性变化,VT在8-10V则一般为非线性变化,如图1所示,VT在10-20V时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO)。压控振荡器的调谐电压VT要针对所要求的产品类别及典型应用环境(例如用户提供调谐要求,在锁相环使用中泵源提供的输出控制电压范围等)来选择或设计,不同的压控振荡器,对调谐电压VT有不同的要求,一般而言,对调谐线性有较高要求者,VT选在1-10V,对宽频带调谐时,VT则多选择1-20V或1-24V。图1为变容二极管的V-C特性曲线。 (V) T 图1变容二极管的V-C特性曲线 三压控振荡器的基本参数 1 工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“MHz”或 “GHz”。 2 输出功率:在工作频段内输出功率标称值,用Po表示。通常单位为“dBmw”。 3 输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△P表示,通常 单位为“dBmw”。 4 调谐灵敏度:定义为调谐电压每变化1V时,引起振荡频率的变化量,用MHz/ △VT 表示,在线性区,灵敏度最高,在非线性区灵敏度降低。 5 谐波抑制:定义在测试频点,二次谐波抑制=10Log(P基波/P谐波)(dBmw)。 6 推频系数:定义为供电电压每变化1V时,引起的测试频点振荡频率的变化量,用MHz/V表示。 7 相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振f0为fm 的带内,各杂散能量的总和按fin平均值+15f0点频谱能量之比,单位为dBC/Hz;相位噪 声特点是频谱能量集中在f0附近,因此fm越小,相噪测量值就越大,目前测量相噪选定

基于Multisim11的压控振荡电路仿真设计

分类号 密级 基于Multisim11的压控振荡电路仿真设计 所在学院机械与电气工程学院 专业电气工程及其自动化 班级 姓名 学号 指导老师 年月日 诚信承诺

我谨在此承诺:本人所写的毕业论文《基于Multisim11的压控振荡电路仿真设计》均系本人独立完成,没有抄袭行为,凡涉及其他作者的观点和材料,均作了注释,若有不实,后果由本人承担。 承诺人(签名): 年月日

摘要 Multisim是美国国家仪器有限公司推出的以Windows为基础的仿真工具,适用于初级的模拟及数字电路板的设计工作,Multisim不仅具有丰富的仿真分析能力,而且还包含了电路原理图的图形输入及电路硬件描述语言的输入方式。有了Multisim软件就相当于有了一个电子实验室,可以非常方便的从事各种电路设计及仿真分析工作。 随着无线通信技术的快速发展,使得市场对压控振荡电路产生了巨大的需求。压控振荡器是通过调节可变电阻或电容可以改变波形的振荡频率,一般是通过人工来调节的。而在自动控制场合往往要求能自动地调节振荡频率。常见的情况是给出一个控制电压,要求输出波形的振荡频率与控制电压成正比。这种电路称为压控振荡器。 本次设计的内容是基于Multisim11的压控振荡电路仿真设计,阐述了压控振荡器的电路原理以及组成结构。本次设计是采用集成运算放大器741芯片组成的滞回电压比较器和反向积分电路,利用二极管1N4148相当于电子开关的功能,控制电容的充放电时间,构成的压控振荡电路,从而实现输入电压对输出频率变化的控制。只要改变输入端的电压,就可以改变输出端的输出频率。并在电路设计与仿真平台Multisim11仿真环境中创建集成压控振荡器电路模块,进而使用Multisim仿真工具对其进行仿真从而达到设计的目的和要求。 关键词:Multisim,压控振荡器,1N4148

变容二极管压控振荡器课程设计

课程设计说明书(论文) 变容二极管压控振荡器 摘要 振荡器是一种能自动地将直流电源能量转换为一定波形的交变振荡信号能量的转换电路。根据所产生的波形的不同,可将振荡器分为正弦波振荡器和非正弦波振荡器两大类。压控振荡器(VCO)是利用电抗元件的等效电抗值能随外加电压变化特点,将其接入正弦振荡器中,使振荡频率随外加控制电压而变化,VCO在频率调制,频率合成,锁相环电路,电视解调器,频谱分析仪等方面有广发应用。变容二极管振荡器是利用变容二极管制成的VCO。 本课题主要是运用变容二极管PN结电容随外加电压变化而变化制成的VCO。关键词:压控,变容二极管,调频

课程设计说明书(论文) 目录 1 课题描述 (3) 2 设计原理 (3) 3 设计过程 (4) 3.1压控振荡器介绍 (4) 3.2设计内容 (5) 3.3设计步骤 (6) 4 设计结果及分析 (8) 总结 (9) 参考文献 (10)

课程设计说明书(论文) 1课题描述 在电子设备中,压控振荡器的应用极为广泛,如彩色电视接收机高频头中的本机振荡电路、各种自动频率控制(AFC)系统中的振荡电路、锁相环路(PLL)中所用的振荡电路等均为压控振荡器以及用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。振荡器输出的波形有正弦型的,也有方波型的。 本课题主要是运用变容二极管PN结电容随外加电压变化而变化制成的VCO。 2 设计原理 利用变容管结电容j C随反向偏置电压VT变化而变化的特点(VT=0V时j C是最大值,一般变容管VT落在2V-8V压间,j C呈线性变化,VT在8-10V则一般为非线性变化,如图1所示,VT在10-20V时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO)。压控振荡器的调谐电压VT要针对所要求的产品类别及典型应用环境(例如用户提供调谐要求,在锁相环使用中泵源提供的输出控制电压范围等)来选择或设计,不同的压控振荡器,对调谐电压VT 有不同的要求,一般而言,对调谐线性有较高要求者,VT选在1-10V,对宽频带调谐时,VT则多选择1-20V或1-24V。图1为变容二极管的V-C特性曲线。 (V) T 图2.1变容二极管的V-C特性曲线

高频课程设计_LC振荡器_克拉泼.(DOC)

高频电子线路课程设计报告设计题目:高频正弦信号发生器 2015年 1月 6 日

目录 一、设计任务与要求 (1) 二、设计方案 (1) 2.1电感反馈式三端振荡器 (2) 2.2电容反馈式三端振荡器 (2) 2.3克拉波电路振荡器 (6) 三、设计内容 (8) 3.1LC振荡器的基本工作原理 (8) 3.2克拉泼电路原理图 (9) 3.2.1振荡原理 (9) 3.3克拉泼振荡器仿真 (10) 3.4.1软件简介 (10) 3.4.2进行仿真 (10) 3.4.3电容参数改变对波形的影响 (11) 四、总结 (17) 五、主要参考文献 (18) 六、附录.................................................................................... .. (18)

一、设计任务与要求 为了熟悉《高频电子线路》课程中所学到的知识,在本课程设计中,我和队友(石鹏涛、甘文鹏)对LC正弦波振荡器进行了分析和研究。通过对几种常见的振荡器(电感反馈式三端振荡器、电容反馈式三端振荡器、改进型电容反馈式振荡器)进行分析论证,我们最终选择了克拉泼振荡器。 在本次课程设计中,设计要求产生10~20Mhz的振荡频率。振荡器的种类很多,适用的范围也不相同,但它们的基本原理都是相同的,都由放大器和选频网络组成,都要满足起振,平衡和稳定条件。然后通过所学的高频知识进行初步设计,由于受实践条件的限制,在设计好后,我利用了模拟软件进行了仿真与分析。为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我们选用的仿真软件是Multisim11.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 最后我们利用了仿真软件对电路进行了一写的仿真分析,如改变电容的参数,分析对电路产生的影响等,再考虑输出频率和振幅的稳定性,得到了与理论值比较相近的结果,这表明电路的原理设计是比较成功的,本次课程设计也是比较成功的。 二:设计方案 通过学习高频电子线路的相关知识,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路)等。通过老师所讲和查阅相关资料可知,克拉泼振荡电路具有该电路频率稳定性非常高,振幅稳定,适合做波段振荡器等优点。所以在本设计中拟采用改进型电容反馈式--克拉泼电路振荡器。 下面对几种振荡器进行分析论证: 2.1电感反馈式三端振荡器

高频压控振荡器开题报告

压控高频LC振荡器的设计 ————开题报告 学生:x x x,物理与信息工程学院 指导老师:x x x x x x 一.课题来源 正弦波振荡器在无线电技术领域应用十分广泛,在电子测量中,正弦波信号必不可少的基准信号源。正弦波振荡器主要有决定振荡频率的选频网络和维持振荡的正反馈放大器组成,正弦波振荡器可分为有LC振荡器、RC振荡器、石英晶体振荡器等。本论文主要讲述了高频高精度的LC正弦波振荡器的产生。介绍了该振荡器的基本工作原理、设计电路、性能和测试指标等。此外,还具体说明了电路设计的制作过程和元器件的检测、安装、焊接、调试等过程。阐述了技术指标要求测试方法和数据记录。并对实测数据进行了分析和总结。目前压控振荡器被广泛应用与通信系统电路中,例如锁相环、频率综合器以及时钟产生和时钟恢复电路。而且VCO压控LC器在现实通信领域也有很广泛的应用,其性能优于环形振荡器。振荡器自其诞生以来就一直在通信、电子、航海航空航天及医学等领域扮演重要的角色,具有广泛的用途。在无线电技术发展的初期,它就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接收设备的基本部件。随着电子技术的迅速发展,振荡器的用途也越来越广泛,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表中采用频率稳定度很高的振荡电路作为定时部件等。尤其在通信系统电路中,压控振荡器(VCO)是其关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要地位。在这次的论文选题中有软件方面的也有硬件方面的,而我本人对硬件比较感兴趣,且压控振荡器是硬件中比较核心的部分,因此我选择了《压控高频LC振荡器的设计》这样一个课题。

相关文档
最新文档