多种波形发生电路的设计

多种波形发生电路的设计
多种波形发生电路的设计

课程名称:电子技术课程设计

题目:一种简单的多种波形发生电路的设计

系(院):电子工程学院

学期:08—09—2

专业班级:

姓名:

学号:

一种简单的多种波形发

生电

路的设计

1引言

运算放大器是模拟电路中的特殊放大器,只要适当选取外部元件,就能构成各种运算电路,如放大、加法、减法、微分和积分等,并因此而得名。自20世纪60年代集成运放问世以来,运放各个系列产品层出不穷,以价格低、性能优得到广泛应用,现已持续不断地渗透到模拟和混合模拟一数字电子学的各个领域。集成运放应用范围十分广泛,有基本放大电路、比较器电路、简单滤波器电路等。正弦波、三角波、矩形波等波形产生电路也可由此产生。在介绍运放组成相应电路的同时,也介绍一些典型的专用集成电路如集成测量放大器、单片有源滤波器、单片集成比较器及其应用电路等。信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波,锯齿波、方波的函数波形发生器。

本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦

波-锯齿波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,再通过差分放大器形成正弦波,最后通过比较器形成锯齿波。波形变换的原理是利用差分放大器传输特性曲线的非线性。

2设计目的

(1)掌握方波—三角波——正弦波—锯齿波信号发生器的原理及设计方法。

(2)掌握迟滞型比较器的特性参数的计算。

(3)了解单片集成函数发生器的工作原理及应用。

(4)能够使用电路仿真软件进行电路调试。

(5)掌握电子系统的一般设计方法。

(6)培养综合应用所学知识来指导实践的能力。

(7)掌握常用元器件的识别和测试。

(8)熟悉常用仪表,了解电路调试的基本方法。

3设计内容及要求

3.1课程设计的内容

(1)该发生器能自动产生正弦波、三角波、方波,锯齿波。

(2)函数发生器以集成运放和晶体管为核心进行设计

(3)指标:

输出波形:正弦波、三角波、方波,锯齿波

频率范围:1Hz~10Hz,10Hz~100Hz

输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V;

(4)对单片集成函数发生器应用接线进行设计。

3.2课程设计的要求

(1)提出具体方案

(2)给出所设计电路的原理图。

(3)进行电路仿真,PCB设计

(4)输出波形的频率连续可调。

(5)三角波输出波形幅值连续可调。

(6)设计电路所需的直流电源。

(7)用分立元件和运放设计的波形发生器要求先用pspice或ewb进行电路仿真分析,仿真结果正确后,在进行安装调试。

4总体方案设计

4.1原理框图

4.2 函数发生器的总方案

函数发生器一般是指能自动产生正弦波、三角波、方波、矩形波及锯齿波、阶梯

波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件 (如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波-锯齿波函数发生器的设计方法。

产生矩形波、方波、三角波、锯齿波的方案有多种,本课题采用先产生方波—三角波,再将三角波变换成锯齿波和矩形波的电路设计方法

本课题中函数发生器电路组成框图如上所示:

由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到锯齿波的变换电路主要由比例运算放大器来完成,而三角波到矩形波的变换主要是通过比较器和积分器来实现的。

5单元电路的设计

5.1方波发生电路的工作原理

集成运放A,电阻R1,R2,R3和双向稳压管组成反向滞回比较器,起开关作用;RC电路起反馈和延迟作用,电容C连到A的反向输入端,以控制滞回比较器的工作状态。滞回比较器输出电压Uo有两个工作状态:高电平+Uz和低电平-Uz,两个状态的翻转是通过RC电路的充放电改变滞回比较器的输入实现的。假设t=0时,电容C上电压Uo为0,输出电压为高电平,即Uo=+Uz,则集成运放同向输入端的电位U+=滞回比较器的第一阈值电压Uth1,即U1={R1/(R1+R2)}*Uz。输出端的高电平通过电阻R对电容C充电,电容电压Uc上升到略大于Uth1时,集成运放方向输入端电位U-大于同向输入端电压,输出电压发生越变,从高电平变为低电平;于是集成运放同向输入端电压立即变为第二阈值电压Uth2,即U+=【-R1/(R1+R2)】*Uz,输出电压变为低电平后,电容C将通过R先放电再充电,电容电压Uc随时间下降,放电过程如下图(a)虚线所示。当Uc下降到略小于Uth2时,集成运放反向输入端电位小于同向输入端电位,滞回比较器输出状态发生另一次越变,输出电压从低电平重新跳回到高电平。C又重新充电,周期性地重复上述过程。在电路稳定以后,电容C的充放电时间常数相等,并且两次越变时电容电压变化的幅值也相等,所以在一个周期内Uo的高低电平时间相等,即Uo是连续的方波。如(b)所示。

方波发生电路图5.2方波---三角波转换原理

方波三角波转换电路图

波形图

电压传输特性

在图所示电路中,积分电路的输出电压Uo作为滞回比较器的输入电压,而滞回比较器的输出电压Uo1又作为积分电路的输入电压;由于采用反向电路取代RC电路,为满足相位关系,该电路选用同向滞回比较器。

分析同向滞回比较器可得其输出高低电平和阈值电压

Uoh=+Uz, Uol=-Uz;

Ulrl=+R1*Uz/R2

Uth2=-R1*Uz/R2

当滞回比较器输出电压Uo1为高电平+Uz时,+Uz将通过电阻R对积分电容C反向充电,充电电流为-Uz/R,输出电压Uo按线性规律下降,当下降到滞回比较器的第二阈值电压时,集成运放A1的同向输入端电位U+=U-=0,再略下降就使得U+U-,U01从-Uz越变回到+Uz,电容又开始反向充电。如此周而复始,产生振荡。由于积分电路反向积分和正向积分的电流大小相等,使得UO在一个周期内的下降时间和上升时间相等,且斜率的绝对值也相等,因而U0是三角波,U01是方波,波形如上图所示。

5.3三角波转化为锯齿波产生原理

如果把三角波输入到一个比例系数可控的比例运算电路,在三角波上升的半周期内,令运算电路的比例系数为1,事输出电压Uo的波形保持三角波的原有波形不变,而在三角波下降的半周期内,令运算电路的比例系数为1,使Uo的波形与原有波形反向,则Uo将为锯齿波。利用结型场效应管组成的电子开关实现了比例系数的可控性。当T 得栅极电压为Uc为负,且幅值超过场效应管夹断电压时,T夹断。如果在输入三角波

电压U1上升的半周期内使场效应管的栅极控制电压Uc为负半周,则T夹断,根据虚

短和虚断列出方程组

如果在u1下降的半周期内,uc为正,则T导通,电路等效为集成运放A同向端接地的反向比例运算电路。所以达到了三角波变锯齿波的目的。

锯齿波电路和波形

5.4矩形波发生电路

电路如图,它由迟滞比较器和积分电路构成。二极管D1和D2将将电容C的充电和放电电路分开,这样就可以通过改变电位器RP1滑动点的位置来改变电容C的充电和放电的时间常数,最后达到调节矩形波的目的。电位器RP1的滑动点将RP1分为RP*和RP@两个部分,而忽略二极管D1,D2的导通电阻,则电容C充电回路的电阻为R+RP@,,而放电回路的电阻为R+RP*。如果RP@

果反向调节RP1,则情况正好相反。可以求得

6电路的参数选择及计算

6.1.方波-三角波中电容C1变化

将C2从10uf(理论时可出来波形)换成0.1uf时,可得出波形。实际上,分析一

下便知当C2=10uf时,频率很低,不容易在实际电路中实现。

6.2参数的选择

频率:0~10MHz(正弦波、矩形波),0~200kHz(其它),除干扰·DC

电源:AC100v/120v/200v/230v+-10%(自动切换)

电压设定量程:峰值±0.1V/±1V/±10V(分辨率0.01mV~1mV)

最小负载阻抗:40Ω

输出阻抗:50Ω±2%(DC)

上升与下降时间:45ns以内(10~90%,设定为通过过滤器,矩形波)

7总电路图

先通过比较器产生方波,再通过积分器产生三角波,然后通过比较器形成锯形波,再通过比例运算放大器将三角波转换为锯齿波。图所示电路由四运放和少量电阻电容组成。以集成运放为核心器件可将图分为四个部分。设集成运放输出电压最大值为+Uom,最小值为-Uom。A1工作在开环状态,且同向输入端接地,组成过零比较器。其输出高低平决定于集成运放输出电压的幅值。A2,R1,C1组成积分电路,其输出电压为U02=-U01(t2-t1)/R1C1+U02(t1).A3组成电压比较器为单限比较器,其阈值电压为-Uref,输出电平为2U0,输出低电平为-Uom.A4、R2、C2组成积分电路

A1及A2的电压传输特性

8系统所需的元器件

1模电实验箱 2双踪示波器 1台 3交流毫伏表

4数字频率计 5uA741*2 6电阻电容若干 7实验板 1台

8二极管三极管若干

9参考文献

[1]王远.模拟电子技术(第二版)[M].北京:机械工业出版社,2000

[2]谢自美.电子线路设计实验测试(第二版)[M].武昌:华中科技大学出版社,2000

[3]谢云.现代电子技术实践课程指导。机械工业出版社

[4]童诗白.模拟电子技术[M].清华大学出版社

[5]高吉祥电子技术基础试验与课程设计国防科技大学

[6]曾建唐.电工电子实践教程[M].北京:机械工业出版社,2002

10心得体会

为期两个礼拜的课程设计转眼就结束了。在这两个礼拜的时间里,我收获的不仅是知识,更是一种研究的精神。

一开始,我根本什么都不会,以前虽然学习了电路和低频电子线路,但是那只是照本宣科,只能应付得了考试,让我根据所学知识设计东西还真是头一回。没有实战经验的我,只能到图书馆把相关的书籍都翻了出来,然后一点一点地了解。

通过这次课程设计,我有以下几点体会:

一、温故而知新。课程设计发端之始,思绪全无,举步维艰,对于理论知识学习不够扎实的我深感“书到用时方恨少”,于是想起圣人之言“温故而知新”,便重拾教材与实验手册,对知识系统而全面进行了梳理,遇到难处先是苦思冥想再向同学请教,终于熟练掌握了基本理论知识,而且领悟诸多平时学习难以理解掌握的较难知识,

学会了如何思考的思维方式,找到了设计的灵感。

二、团结就是力量。课程设计的过程中确实有很多拦路虎,但是每组有九个人的我们充分利用人力资源,明确分工,积极合作。三个臭皮匠也顶过一个诸葛亮,更何况我们有就个人呢。通过大家共同的努力,再困难的事情也能迎刃而解了。

三、实践出真知。通过历史的学习,我一直谨记其中的一句话:实践是检验真理的唯一标准。以前虽然理解但却没有能够亲身体验这句话。通过这一次课程设计,在设计的过程中,我们自己动手动脑,验证了这一话的正确性。

四、创新求发展。“创新”目前在我国已经提升到国家发展战略地位,足见“创新”的举足轻重。因此,我们要从小处着手,顺应时代发展潮流,在课程设计中不忘在小处创新,未必是创新技术,但凡创新思维亦可,未必成功,只要实现创新思维培育和锻炼即可。

五、过而能改,善莫大焉。至善至美,是人类永恒的追求。但是,不从忘却“金无足赤,人无完人”,我们换种思维方式,去恶亦是至善,改错亦为至美。在课程设计过程中,我们不断发现错误,不断改正,不断领悟,不断获取。最终的检测调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。

这一次的课程设计只是我们大学生活的第一次课程设计,它说明我们离本专业越来越近了。这些实践性的课程不仅使学习方法多样化,也为我们进一步理解专业知识和以后工作打下了良好的基础。

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

利用Labview实现任意波形发生器的设计

沈阳理工大学课程设计专用纸No I

1 引言 波形发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,为适应现代电子技术的不断发展和市场需求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。 波形发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL),直接数字合成技术(DDS)。 传统的波形发生器一般基于模拟技术。它首先生成一定频率的正弦信号,然后再对这个正弦信号进行处理,从而输出其他波形信号。早期的信号发生器大都采用谐振法,后来出现采用锁相环等频率合成技术的波形发生器。但基于模拟技术的传统波形发生器能生成的信号类型比较有限,一般只能生成正弦波、方波、三角波等少数的规则波形信号。随着待测设备的种类越来越丰富,测试用的激励信号也越来越复杂,传统波形发生器已经不能满足这些测试需要,任意波形发生器(AWG)就是在这种情况下,为满足众多领域对于复杂的、可由用户自定义波形的测试信号的日益增长的需要而诞生的。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器输出频率较低。目前的任意波形发生器普遍采用DDS(直接数字频率合成)技术。基于DDS技术的任意波形发生器(AWG)利用高速存储器作为查找表,通过高速D/A转换器对存储器的波形进行合成。它不仅可以产生正弦波、方波、三角波和锯齿波等规则波形,而且还可以通过上位机编辑,产生真正意义上的任意波形。

波形发生电路习题及习题解答

7-1 判断下面所述的正误 1. 串联型石英晶体振荡电路中,石英晶体相当于一个电感而起作用。 ( ) 2. 电感三点式振荡器的输出波形比电容三点式振荡器的输出波形好。 ( ) 3. 反馈式振荡器只要满足振幅条件就可以振荡。 ( ) 4. 串联型石英晶体振荡电路中,石英晶体相当于一个电感而起作用。 ( ) 5. 放大器必须同时满足相位平衡条件和振幅条件才能产生自激振荡。 ( ) 6. 正弦振荡器必须输入正弦信号。 ( ) 7. LC 振荡器是靠负反馈来稳定振幅的。 ( ) 8. 正弦波振荡器中如果没有选频网络,就不能引起自激振荡。 ( ) 9. 反馈式正弦波振荡器是正反馈一个重要应用。 ( ) 10. LC 正弦波振荡器的振荡频率由反馈网络决定。 ( ) 11. 振荡器与放大器的主要区别之一是:放大器的输出信号与输入信号频率相同, 而振荡器一般不需要输入信号。 ( ) 12. 若某电路满足相位条件(正反馈),则一定能产生正弦波振荡。 ( ) 13. 正弦波振荡器输出波形的振幅随着反馈系数F 的增加而减小。 ( ) 7-2 并联谐振回路和串联谐振回路在什么激励下(电压激励还是电流激励)才能产生负斜率 的相频特性? 解:并联谐振回路在电流激励下,回路端电压V 的频率特性才会产生负斜率的相频特性,如图(a)所示。串联谐振回路在电压激励下,回路电流I 的频率特性才会产生负斜率的相频特性, 如图(b)所示。 7-3 电路如题7-3图所示,试求解:(1)R W 的下限值;(2)振荡频率的调节范围。 题7-3图 解:(1) 根据起振条件 ''2,2f W W R R R R k 故R w 的下限值为2k 。 (2) 振荡频率的最大值和最小值分别为 0max 11 1.62f kHz R C , 0min 1211452()f Hz R R C 7-4 在题7-4图所示电路中,已知R 1=10k Ω,R 2=20k Ω,C = μF ,集成运放的最大输出电压

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

基于51单片机的波形发生器的设计讲解

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

课程设计——波形发生器要点

1.概述 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课程采用采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。

2.设计方案 采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。文氏桥振荡器产生正弦波输出,其特点是采用RC串并联网络作为选频和反馈网络,其振荡频率f=1/2πRC.改变RC的值,可得到不同的频率正弦波信号输出。用集成运放构成电压比较器,将正弦波变换成方

3. 设计原理 3.1正弦波产生电路 正弦波由RC 桥式振荡电路(如图3-1所示),即文氏桥振荡电路产生。文氏桥振荡器具有电路简单、易起振、频率可调等特点而大量应用于低频振荡电路。正弦波振荡电路由一个放大器和一个带有选频功能的正反馈网络组成。其振荡平衡的条件是AF =1以及ψa+ψf=2n π。其中A 为放大电路的放大倍数,F 为反馈系数。振荡开始时,信号非常弱,为了使振荡建立起来,应该使AF 略大于1。 放大电路应具有尽可能大的输入电阻和尽可能小的输出电阻以减少放大电路对选频特性的影响,使振荡频率几乎仅决定于选频网络,因此通常选用引入电压串联负反馈的放大电路。正反馈网络的反馈电压U f 是同相比例运算电路的输入电压,因而要把同相比例运算电路作为整体看成电路放大电路,它的比例系数是电压放大倍数,根据起振条件和幅值平衡条件有 31 1≥+ =R Rf Av (Rf=R2+R1//D1//D2) 且振荡产生正弦波频率 Rc f π210= 图中D1、D2的作用是,当Vo1幅值很小时,二极管D1、D2接近开路,近似有Rf =9.1K +2.7K =11.8K ,,Av=1+Rf/R1=3.3>=3,有利于起振;反之当Vo 的幅值较大时,D1或D2导通,Rf 减小,Av 随之下降,Vo1幅值趋于稳定。

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

波形发生器课程设计

1.设计题目:波形发生电路 2.设计任务和要求: 要求:设计并用分立元件和集成运算放大器制作能产生方波和三角波波形的波形发生器。 基本指标:输出频率分别为:102H Z 、103H Z ;输出电压峰峰值V PP ≥20V 3.整体电路设计 1)信号发生器: 信号发生器又称信号源或振荡器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。各种波形曲线均可以用三角函数方程式来表示,如三角波、锯齿波、矩形波(含方波)、正弦波。通过模拟电子技术设计的波形发生器是一个不需要外加输入信号,靠自身振荡产生信号的电路。2)电路设计: 整体电路由RC振荡电路,反相输入的滞回比较器和积分电路组成。 理由:a)矩形波电压只有两种状态,不是高电平,就是低电平,所以电压比较器是它的重要组成部分; b)产生振荡,就是要求输出的两种状态自动地相互转换,所以电路中必须引入反馈; c)输出状态应按一定的时间间隔交替变化,即产生周期性变化,所以电路中要有延迟环节来确定每种状态维持的时间。 RC振荡电路:即作为延迟环节,又作为反馈电路,通过RC充放电实现输出状态的自动转换。 反相输入的滞回比较器:矩形波产生的重要组成部分。 积分电路:将方波变为三角波。 3)整体电路框图: 为实现方波,三角波的输出,先通过 RC振荡电路,反相输入的滞回比较器得到方波,方波的输出,是三角波的输入信号。三角波进入积分电路,得出的波形为所求的三角波。其电路的整体电路框图如图1所示:

图1 4)单元电路设计及元器件选择 a ) 方波产生电路 根据本实验的设计电路产生振荡,通过RC 电路和滞回比较器时将产生幅值约为12V 的方波,因为稳压管选择1N4742A (约12V )。电压比较电路用于比较模拟输入电压与设定参考电压的大小关系,比较的结果决定输出是高电平还是低电平。滞回比较器主要用来将信号与零电位进行比较,以决定输出电压。图3为一种滞回电压比较器电路,双稳压管用于输出电压限幅,R 3起限流作用,R 2和R 1构成正反馈,运算放大器当u p >u n 时工作在正饱和区,而当u n >u p 时工作在负饱和区。从电路结构可知,当输入电压u in 小于某一负值电压时,输出电压u o = -U Z ;当输入电压u in 大于某一电压时,u o = +U Z 。运算放大器在两个饱和区翻转时u p =u n =0,由此可确定出翻转时的输入电压。u p 用u in 和u o 表示,有 2 1o 1in 22 1o 2 in 1p 111 1R R u R u R R R u R u R u ++= ++= 根据翻转条件,令上式右方为零,得此时的输入电压 th Z 2 1 o 21in U U R R u R R u ==-= U th 称为阈值电压。滞回电压比较器的直流传递特性如图4所示。设输入电压初始值小于-U th ,此时u o = -U Z ;增大u in ,当u in =U th 时,运放输出状态翻转,进入正饱和区。如果初始时刻运放工作在正饱和区,减小u in ,当u in = -U th 时,运放则开始进入负饱和区。 RC 振荡电路 积分电路 方波 三角波 反相输入的滞回比较 生成 生成 输入 积分电路 输入

一种波形产生数字电路设计及仿真

一种波形产生数字电路设计及仿真 1设计原理 DDS 直接频率合成技术是一种直接从相位的角度合成所需波形的技术。此设计旨在设计一个模拟波形的产生电路,采用quartus ii 软件作为可编程逻辑设计环境,该软件有两种设计方式,原理图输入和采用HDL 语言输入,实现模拟波形的产生。数字电路设计包含组合逻辑电路和时序逻辑电路设计,其中组合逻辑电路的设计是设计的重点。因此需要对时钟有较强的理解。本设计可以采用Verilog HDL 硬件描述语言编写程序实现波形的产生,可以产生三种波形,正弦波,方波以及三角波。其中正弦波调用quartus 自带的IP 核,通过单端口的ROM 来查表获得查表数据,通过调用ip 可以实现设计要求。方波和三角波虽然也可以通过此方式,但是也可以通过编写计数器分频实验来实现设计,方波可以直接通过半个周期信号为低电平,半个周期为高电平。三角波通过将计数器的值先增加后减少获得。波形产生电路在电子设计中占据很重要的地方,有一定的研究价值。 2功能描述 (1)实现正弦波、三角波、方波的输出; (2)信号输出通过各个波形的使能信号来区分输出何种波形; (3)信号调节方式可控,这里由于是仿真故没编写按键扫描程序; FPGA 的设计流程如下: 系统框图如图所示: 3设计定义

4 HDL语言编写流程 设计代码包含设计时钟分频进程、三角波产生进程、方波产生进程和信号输出电路进程。其中每个进程通过过程语句always来通过敏感信号如时钟上升沿和异步复位信号时钟下降沿来采样。其中设计流程图如下图所示: 5设计代码 见附录 6验证及仿真 仿真的方式有功能仿真和时序仿真,要设计这么一款电路,首先需要通过功能仿真。工具有quartus ii自带的波形仿真文件和编写顶层设计的testbench 测试程序来进行仿真。其中可以设置仿真时间、仿真精度、以及信号的输入类型定义和数值,设置好这些数据就可以进行仿真,不过采用testbench仿真相对复杂一点,需要用到modelsim软件来仿真,优点是仿真时间和仿真精度可以更高,仿真波形也相对较美观。 一个最基本的Testbench包含三个部分,信号定义、模块接口和功能代码。编写Testbench的三个基本步骤: 1、对被测试设计的顶层接口进行例化; 2、给被测试设计的输入接口添加激励; 3、判断被测试设计的输出相应是否满足设计要求。

波形发生器的设计全解

正 文 1 选题背景 波形发生器又名信号源,广泛应用于电子电路、自动控制和科学试验等领域。雷达、通信、宇航、遥控遥测技术和电子系统等领域都随处可见波形发生器的应用。如今作为电子系统心脏的信号源的性能很大程度上决定了电子设备和系统的性能的提高,因此随着电子技术的不断发展,现今对信号源的频率稳定度、频谱纯度和频率范围以及信号波形的形状提出越来越高的挑战。 1.1指导思想 利用NE555构成多谐振荡器产生方波,根据LM324输出的锯齿波分别通入低通滤波器和高通滤波器就可以输出正弦波Ⅰ、正弦波Ⅱ。 1.2 方案论证 方案一:使用NE555芯片构成多谐振荡器,输出方波,通过锯齿波发生电路产生锯齿波,然后通过一个KHz f H 10=的低通滤波器,通过滤波产生一次,8KHz 到10KHz 的正弦波,然后再让锯齿波通过一个24KHz~30KHz 的带通滤波器,输出三次正弦波。其中滤出三次谐波的理论依据是,由于锯齿波是一个关于t 的周期函数,并且满足狄里赫莱条件:在一个周期内具有有限个间断点,且在这些间断点上,函数是有限值;在一个周期内具有有限个极值点;绝对可积。 方案二:使用功放构成文森桥式震荡电路,产生出8KHz~10KHz 的正弦波。接着是用NE555芯片,搭建出施密特触发电路,产生脉冲波输出;将脉冲波分别输入一个KHz f H 10=的低通滤波器和24KHz~30KHz 的带通滤波器电路中,产生一次和三次正弦波。 最初方案设计的大体思路在方案一和方案二之间犹豫不决,于是将两个电路的大体电路都进行了简单的设计,发现方案二存在很多的问题很难解决。 问题一:如果使用文森桥式震荡器产生正弦波,改变震荡频率就需要改变RC 常数,要同时改变两个R (在实际电路中,同时改变两个电容的值是很复杂的,而且这样也无法得到一个8KHZ~10KHz 的连续的频率),需要双滑动变阻器并且要保证滑动变阻器改变的值完全相同,有一定困难。 问题二:NE555芯片搭建出来的是一个简单的施密特触发器,输入正弦波之后,输出的脉冲波的占空比是不可以调整的,不满足实验要求的占空比可调的条件。要是施密特触发器产生的脉冲波的占空比可调会是该电路进一步复杂化。 问题三:LM324芯片的功放不够,由于有Ω600负载电阻的限制,输出波形的峰峰值不能简单的通过电阻的分压来实现。 鉴于方案二存在的问题能以解决,我们就确定选择方案一的整体思路进行方案的设计。 1.3 基本设计任务 用555 定时器和四运放LM324 设计并制作一个频率可变的、能够同时输出脉冲波、

波形发生器课程设计报告

课程设计报告书 波形发生器 学院电子与信息学院 专业班级 学生姓名 学生学号 指导教师 课程编号 课程学分1 起始日期2017 波形发生器 一、选题背景 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、矩形波的函数波形发生器。 二、方案论证 1、设计题目要求 1.1、功能要求 同时三通道输出,采用正弦波、矩形波、三角波的级联结构; 电源由稳压电源供给; 1.2、指标要求: 输出电压要求正弦波Vp-p>10V、矩形波Vp-p>10V、三角波Vp-p>4V; 输出波形频率范围为100Hz—2kHz;

通带内输出电压幅度峰峰值误差不大于5%; 矩形波占空比可调整,调整范围:10%~90%; 2、总体设计方案 2.1设计思路 根据模拟电子技术基础课程,可通过RC桥式正弦波振荡电路产生正弦波,通过比较器变换成矩形波,再通过积分电路变换成三角波;或者同过滞回比较器和RC电路组成的矩形波发生电路产生矩形波,通过积分电路变换成三角波,再用滤波法变换成正弦波。 2.2设计方案 满足上述设计功能可以实施的方案很多,现提出以下几种方案: 2.2.1方案一 ①原理框图 图2.2.1方案一原理框图 ②基本原理 通过RC桥式正弦波振荡电路,产生正弦波,改变电阻R和电容C的值实现频率可调;通过单限比较器,产生矩形波,接入参考电压,通过改变与参考电压串联电阻的阻值,实现占空比可调;通过积分电路,产生三角波。 2.2.2方案二 ①原理框图

2013电子设计竞赛复试题波形发生器

波形发生器 徐威 (宁波大学信息科学与工程学院,浙江宁波315211) 摘要:使用题目指定的综合测试板上的NE555芯片和一片四运放LM324芯片制作一个频率可变的同时输出脉冲波、锯齿波、一次和三次正弦波。进行方案设计,制作出实际电路使其达到实验要求的各项指标。 一、设计任务与要求 使用题目指定的综合测试板上的NE555芯片和一片四运放LM324芯片,设计制作一个频率可变的同时输出脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ的波形产生电路。给出方案设计、详细电路图和现场自测数据及波形。 设计制作要求如下: 1、同时四通道输出、每通道输出脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ中的一种波形,每通道输出的负载电阻均为600欧姆。 2、四种波形的频率关系为1:1:1:3(3次谐波);脉冲波、锯齿波、正弦波Ⅰ输出频率范围为8KHz~10KHz,输出电压幅度峰峰值为1V;正弦波Ⅱ输出频率范围为24KHz~30KHz,输出电压幅度峰峰值为9V。脉冲波、锯齿波和正弦波输出波形应无明显失真(使用示波器测量时)。 频率误差不大于10%;通带内输出电压幅度峰峰值误差不大于5%。脉冲波占空比可调整。 3、电源只能选用+10V单电源,由稳压电源供给,不得使用额外电源。 4、要求预留脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ和电源的测试端子。 5、每通道输出的负载电阻600欧姆应标清楚、至于明显位置,便于检查。 6、翻译:NE555和LM324的数据手册(器件描述、特点、应用、绝对参数、电参数)。 二、方案设计与论证 1.原始方案: 在使用Multisim进行仿真设计的阶段,我想出了两种原始方案,两种方案的大体思路如下。

波形发生器课程设计

波形发生器设计 设计总说明 本系统采用AT89C51单片机作为控制核心,外围采用数字/模拟转换电路(DAC0832)运算放大器、按键等。采用AT89C51单片机和DAC0832芯片,直接连接键盘和显示。该种方案主要对AT89C51单片机的各个I/O口充分利用. P1口是连接键盘以及接显示电路,P2口连接DAC0832输出波形.这样总体来说,能对单片机各个接口都利用上,而不在多用其它芯片,从而减小了系统的成本.也对按照系统便携式低频信号发生器的要求所完成.占用空间小,使用芯片少,低功耗。 通过按键控制可产生方波、三角波、正弦波、梯形波、锯齿波。其设计简单、性能优好,具有一定的实用性。正弦波、三角波、方波、梯形波、锯齿波是较为常见的信号。在科学研究及教学实验中常常需要这几种信号的发生装置。 关键字:AT89C5,DAC0832,运算放大器

目录 1绪论 (1) 1.1设计目的 (1) 1.2设计容 (1) 2系统设计方案 (3) 2.1系统组成 (3) 2.2系统工作原理 (3) 3系统硬件电路设计 (4) 3.1单片机最小系统设计 (4) 3.2其他硬件模块电路设计 (4) 3.2.1 DAC0832芯片介绍 (4) 3.2.2单片机AT89C51介绍 (6) 4系统软件程序设计 (10) 4.1主程序设计 (10) 4.2其他子程序设计 (11) 4.2.1锯齿波流程设计 (11) 4.2.2梯形波流程设计 (12) 4.2.3三角波流程设计 (13) 4.2.4方波流程设计 (14) 4.2.5正弦波流程设计 (15)

5 调试与仿真 (18) 6 总结 (19) 致 (21) 参考文献 (22)

第7章波形发生电路习题及习题解答

7-1判断下面所述的正误 1. 串联型石英晶体振荡电路中,石英晶体相当于一个电感而起作用。() 2. 电感三点式振荡器的输出波形比电容三点式振荡器的输出波形好。() 3. 反馈式振荡器只要满足振幅条件就可以振荡。 () 4. 串联型石英晶体振荡电路中,石英晶体相当于一个电感而起作用。() 5. 放大器必须同时满足相位平衡条件和振幅条件才能产生自激振荡。() 6. 正弦振荡器必须输入正弦信号。 () 7. LC振荡器是靠负反馈来稳定振幅的。() 8. 正弦波振荡器中如果没有选频网络,就不能引起自激振荡。() 9. 反馈式正弦波振荡器是正反馈一个重要应用。 () — 10. LC正弦波振荡器的振荡频率由反馈网络决定。 () 11. 振荡器与放大器的主要区别之一是:放大器的输出信号与输入信号频率相同, 而振荡器一般不需要输入信号。 () 12. 若某电路满足相位条件(正反馈),则一定能产生正弦波振荡。() 13. 正弦波振荡器输出波形的振幅随着反馈系数F的增加而减小。()7-2并联谐振回路和串联谐振回路在什么激励下(电压激励还是电流激励)才能产生负斜率的相频特性 解:并联谐振回路在电流激励下,回路端电压V 的频率特性才会产生负斜率的相频特性,如图(a)所示。串联谐振回路在电压激励下,回路电流I 的频率特性才会产生负斜率的相频特性,如图(b)所示。 7-3电路如题7-3图所示,试求解:(1)R W的下限值;(2)振荡频率的调节范围。 ^ 题7-3图 解:(1) 根据起振条件

''2,2f W W R R R R k +>>Ω 故R w 的下限值为2k Ω。 (2) 振荡频率的最大值和最小值分别为 0max 11 1.62f kHz R C π= ≈, 0min 1211452()f Hz R R C π=≈+ 7-4 在题7-4图所示电路中,已知R 1=10k Ω,R 2=20k Ω,C = μF ,集成运放的最大输出电压幅 值为±12V ,二极管的动态电阻可忽略不计。(1)求出电路的振荡周期;(2)画出u O 和u C 的波形。 题7-6图 解7-6图 解:(1)振荡周期: 12()ln 3 3.3ms T R R C ≈+≈ (2)脉冲宽度:11ln 3 1.1T R C mS ≈≈ ) ∴u O 和u C 的波形如解7-6图所示。 7-5 试判断如图所示各RC 振荡电路中,哪些可能振荡,哪些不能振荡,并改正错误。图中, C B 、C C 、C E 、C S 对交流呈短路。

多种波形发生器的设计

《电子技术》 课程设计说明书 题目名称:多种波形发生器的设计姓名:xxx 学号:xxx 班级:xxx 指导教师:xxx 2013年 1 月 4 日

摘要 波形发生器是一种能够产生大量标准信号和用户定义信号,具有高精度、可重复性、易操作性、对频率、幅值、相移、波形进行动态及时的控制的一类新型信号源。 本设计的设计方案是把滞回比较器和积分器首尾相接组成一个正反馈闭环系统,则比较器输出的方波经过积分器可得到三角波,三角波又触发比较器自动翻转形成法波;三角波—正弦波的转换电路主要由差分放大电路来完成,差分放大电路具有工作点稳定,输入阻抗高,抗干扰能力强等优点。特别是作为直流放大器,可以有效抑制零点漂移,因此可以将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 关键词:信号源;滞回比较器;积分器;波形发生器 多种波形发生器的设计背景 波形发生器是随着众多领域对于复杂的、可由用户定义的测试波形的需要而形成和发展起来的,它的主要特点是可以产生任何一种特殊波形,输出信号的频率、电平以及平滑低通滤波的截至频率也可以作到程序设置,因此在机械性能分析、雷达和导航、自动测试系统等方面得到广泛的应用。而对AWG的控制、数据传输、输出信号的频率和电平设置都可以通过微机打印口在EPP工作模式下设计完成。这样不仅具有设计简单,占用微机资源较少的优点,而且操作简单,使用方便,易于硬件升级。

波形发生器是能够产生大量的标准信号和用户定义信号,具有高精度、可重复性、易操作性、连续的相位变换和频率稳定性,还可以对频率、幅值、相移、波形进行动态及时的控制。随着不断进步的计算机技术和微电子技术在测量仪器中的应用而形成和发展起来的一类新型信号源。 目录 1.摘要 (2) 1.设计目的 (4) 2.设计任务、要求及设计容 (4) 2.1任务 (4) 2.2要求 (4) 2.3设计方案 (5) 3. 多种波形发生器原理电路设计 (5) 3.1各方案原理框图及论证 (5) 3.2电路图和接线图及工作原理 (6) 3.3各部分电路设计 (8) 3.4 电路的参数选择及计算 (13)

电子课程设计-多种波形发生器报告

课题名称多种波形发生器 课题代码203 院(系) 专业电气工程及其自动化班级 学生 时间 指导教师签名: 教研室主任(系主任)签名:

一.设计目的 1、了解并掌握电子电路的一般设计方法,具备初步的独立设计能力。 2、通过查阅手册和文献资料,进一步熟悉常用电子器件的类型和特 性,并掌握合理选用的原则;进一步掌握电子仪器的正确使用方法。 3、学会使用EDA软件Multisim对电子电路进行仿真设计。 4、初步掌握普通电子电路的安装、布线、调试等基本技能。 5、提高综合运用所学的理论知识独立分析和解决问题的能力,学会 撰写课程设计总结报告;培养严肃认真的工作作风和严谨的科学态度。 二.设计内容、要求及设计方案 1、任务 设计并制作能产生方波、三角波及正弦波等多种波形信号输出的波形发生器。 2、要求 1)输出的各种波形工作频率范围 Hz~20 kHz连续可调; 2)正弦波幅值±l0V,失真度小于%; 3)方波幅值±l0V; 4)三角波峰一峰值20V;各种输出波形幅值均连续可调; 5)设计电路所需的直流电源。 3、总体方案设计

1)设计思路 波形产生电路通常可采用多种不同电路形式和元器件获得所要求的波形信号输出。波形产生电路的关键部分是振荡器,而设计振荡器电路的关键是选择有源器件,确定振荡器电路的形式以及确定元件参数值等。具体设计可参考以下思路。 ①用正弦波振荡器产生正弦波输出,正弦波信号通过变换电路 得方波输出(例如用施密特触发器),用积分电路将方波变换成三角波或锯齿波输出; ②利用多谐振荡器产生方波信号输出,用积分电路将方波变换 成三角波输出,用折线近似法将三角波变换成正弦波输出; ③用多谐振荡器产生方波输出,方波经滤波电路可得正弦波输 出,方波经积分电路可得三角波输出; ④利用单片函数发生器568038,集成振荡器E1648及集成定时 器555/556等可灵活地组成各种波形产生电路。 三、设计方案 1)设计方案 此次,多种波形发生器的实验,从设计思路可以看出,主要用到了正弦波振荡器,施密特触发器,积分电路等。基于本学期我们已经掌握的模拟电路课程的知识。经过我们小组讨论,我们觉得我们对于正弦波振荡器,文式电桥结构,施密特触发器的概念以及积分电路都已比较清楚的了解。因此我们采用了如下设计

波形产生电路实验报告

波形产生电路实验报告 一、实验目的 1. 通过实验掌握由集成运放构成的正弦波振荡电路的原理与设计方法; 2. 通过实验掌握由集成运放构成的方波(矩形波)和三角波(锯齿波)振荡电路的原理与设计方法。 二、实验内容 1. 正弦振荡电路 实验电路图如下图所示,电源电压为。 U1A LF347N 3 2 11 4 1 R116kΩ R2 16kΩR310kΩR410kΩC10.01μF C20.01μF R847kΩKey=A 37.9 %D2D1 212V VDD -12V VCC VDD 5 3 4 1 (1)缓慢调节电位器,观察电路输出波形的变化,解释所观察到的现象。 (2)仔细调节电位器 ,使电路输出较好的正弦波形,测出振荡频率和幅度以及相 对 应的之值,分析电路的振荡条件。 (3)将两个二极管断开,观察输出波形有什么变化。 2. 多谐振荡电路 (1)按图 2 安装实验电路(电源电压为±12V )。观测、 波形的幅度、周期(频 率)以及的上升时间和下降时间等参数。 (2)对电路略加修改,使之变成矩形波和锯齿波振荡电路,即 为矩形波, 为 锯齿波。要求锯齿波的逆程(电压下降段)时间大约是正程(电压上升段)时间的 20% 左右。观测 、 的波形,记录它们的幅度、周期(频率)等参数。 3. 设计电路测量滞回比较器的电压传输特性。

三、预习计算与仿真 1. 预习计算 (1)正弦振荡电路 由正反馈的反馈系数为: f 1 12 0o 013V Z F Z Z V j ωωωω? ? ? = = = +??+- ? ?? 由此可得RC 串并联选频网络的幅频特性与相频特性分别为 2 00231? ??? ??-+= ωωωωF 0F arctan 3 ωωωω φ-=- 易知当RC 1 0==ωω时,?f V 和?o V 同相,满足自激振荡的相位条件。 若此时f 3v A >,则可以满足f 1v A F >,电路起振,振荡频率为 000 111 994.7Hz 1.005ms 2216k 10nF f T RC f ππ= ====?Ω?,。 若要满足自激振荡,需要满足f v A F 在起振前略大于1,而max 1 3 F =,令f 3v A =,即满足条件的R w 应略大于10kΩ。 (2)多谐振荡电路 对 电 路 的 滞 回 部 分 , 输出电压 ,当时,可 以得到。

波形发生电路的设计

《波形发生电路的设计》 课程设计报告 班级 任务分工:课程设计任务书下来后我们四个首先开始进行了理论上的讨论,然后根据任务要求确定了方案,上网查找搜寻了资料。最后根据方案来进行设计电路原理图,原理图经分析无误后,再经仿真软件进行仿真一直能满足任务要求为止,针对专业技术不足,我们多方讨论,多搜索资料,查阅书籍,一起书写课程任务书以及焊接电路板。设计时间:2013年7月8日——2013年7月12日 指导教师:冯勇鑫 目录 课题一波形发生器 (2)

1 题目 (2) 2 主要技术指标 (2) 3 方案论证及选择 (2) 4 系统组成框图 (3) 5 单元电路设计及说明 (5) (1)正弦波 (6) (2)方波 (8) (3)三角波 (10) 6 电路仿真 (6) 7 总体电路图 (7) 8 元件清单 (13) 9 调试过程及测试结果 (13) (1)调试步骤 (13) (2)调试结果 (14) 10 参考文献 (14) 11 个人总结 (14)

课题:波形发生电路的设计 一、课程设计的目的与要求(含设计指标) 任务:波形发生电路 要求:用集成运放设计正弦波—方波—三角波发生电路。其中正弦波振荡频率为160H Z,幅度为10V;方波频率与正弦波相同,输出电压幅度为6V;三角波频率与正弦波相同,幅度为4V。 二、方案论证及选择 ①方波产生电路是一种能够直接产生方波或矩形波的非正弦信号发生电路。由于方波包含了极丰富的谐波,因此,这种电路又成为多谐振荡电路。这是在迟滞比较器的基础上连了一个积分电路,把输出电压经R,f, C反馈到集成运放的反向端。在运放的输出端引入限流电阻R和两个背靠背的稳压管就组成了一个双向方波发生的电路。 ②三角波产生电路主要是积分电路的正向和反向充放电时间常数相等。即与锯齿波产生的差别。积分电路利用虚地的概念,电容C存在的漏电流也是产生误差的原因之一,选用泄漏电阻大的电容器可减少这种误差。 ③从结构上看,正弦波振荡电路就是一个没有输入信号的带选

波形发生器设计

课程设计任务书 学生姓名:专业班级:自动化 指导教师:工作单位: 题目: 波形信号发生器 初始条件: 可选元件:运算放大器,三极管,电阻、开关、电容若干,等自选元器件。 可用仪器:示波器,万用表,频率计等 要求完成的主要任务: (1)设计任务 设计一台波形信号发生器。 (2)设计要求 1、输出波形:方波、三角波、锯齿波、正弦波、阶梯波。 2、频率范围:1Hz—10Hz,10Hz—100Hz,100Hz—1KHz,1KHz—10KHz等四个波 段。 3、频率控制:通过改变RC时间常数手控信号频率。 4、方波峰峰值0—20V之间可调,三角波峰峰值在0—5之间可调,正弦波峰峰 值大于1V。 5、用分立元件和运放设计一个波形发生器,要求用Multisim或Protel进行电路仿真。 时间安排: 1、2010 年6月7日至2010年6月28日,完成仿真设计、制作与调试;撰写课程设计报 告。 2、2010 年7月1日提交课程设计报告,进行课程设计验收和答辩。 设计的作用、目的 1、根据从稳定性、可靠性、实用性、经济性选择电子线路和电子器件,找到合 适的功能电路; 2、通过网络查阅和图书馆资料,培养独立分析问题和解决实际问题的能力; 3、掌握常用元器件的识别和测试 4、熟悉常用仪表,了解电路调试的基本方法 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1 函数发生器的总方案及原理框图 (1) 1.1 电路设计原理框图 (1) 1.2 电路设计方案设计 (1) 2设计的目的及任务 (2) 2.1 课程设计的目的 (2) 2.2 课程设计的任务与要求 (2) 2.3 课程设计的技术指标 (2) 3 各部分电路设计 (3) 3.1 方波发生电路的工作原理 (3) 3.2 方波---三角波转换电路的工作原理 (3) 3.3 三角波---正弦波转换电路的工作原理 (6) 3.4电路的参数选择及计算 (8) 3.5 总电路图 (10) 4 电路仿真 (11) 4.1 方波---三角波发生电路的仿真 (11) 4.2 三角波---正弦波转换电路的仿真 (12) 4.3 仿真结果分析 (12) 5电路的安装与调试 (13) 5.1 方波---三角波发生电路的安装与调试 (13) 5.2 三角波---正弦波转换电路的安装与调试 (13) 5.3 总电路的安装与调试 (13) 5.4 电路安装与调试中遇到的问题及分析解决方法 (13) 6电路的实验结果 (15) 6.1 方波---三角波发生电路的实验结果 (15) 6.2 三角波---正弦波转换电路的实验结果 (15) 6.3 实测电路波形、误差分析及改进方法 (16) 7 实验总结 (17) 8 仪器仪表明细清单 (18) 9 参考文献 (19)

相关文档
最新文档