4位DIP开关控制数码管课程设计

4位DIP开关控制数码管课程设计
4位DIP开关控制数码管课程设计

课程设计说明书

课程名称:《单片机技术》

设计题目:4位DIP开关控制数码管

显示系统设计

学院:电子信息与电气工程学院

学生姓名:

学号:201106010066

专业班级:自动化级

指导教师:

2014 年 6 月13 日

课程设计任务书

4位DIP开关控制数码管显示系统设计

摘要:本次课程设计以AT89S52单片机为电路的核心,通过7805、桥堆2W10、四位DIP开关、时钟晶振12M、四脚按键等元件组成直流稳压电源和显示控制电路。然后用汇编语言编写数码管控制程序,通过写入单片机实现四位DIP控制共阳极数码管显示。在本次设计中,控制系统由+5V直流电源供电,而+5V直流电由直流稳压电源提供。利用拨动开关的低四位输入控制信号,每一位拨码开关接通时为“1”,断开时为“0”,以四位二进制的方式控制数码管显示器的输出,拨码范围为“0000”-“1111”,对应数字分别为“0”-“F”,而按下复位按键后,程序复位到初始状态,此时数码管全亮,即显示数字“8”的字样。

关键词:AT89S52芯片;四位DIP开关;共阳极数码管;复位按键。

目录

1.设计背景 (1)

1.1单片机设计背景 (1)

1.2设计目的 (1)

2. 设计方案 (1)

2.1方案一 (1)

2.2方案二 (1)

3. 方案实施 (2)

3.1单片机基本结构 (2)

3.2硬件模块电路 (3)

3.3软件程序设计 (5)

3.4 软件模拟 (5)

3.5 PCB制作 (6)

3.6 安装与调试 (7)

4. 结果与结论 (7)

4.1 结果 (7)

4.2 结论 (8)

5. 收获与致谢 (9)

6. 参考文献 (9)

7. 附件 (10)

7.1 程序 (10)

7.2 电路原理图 (12)

7.3 PCB布线图 (14)

7.4 元器件清单 (15)

7.5实物图 (16)

1.设计背景

1.1单片机设计背景

单片机是一种集成电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU、随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计数器等功能,可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路,集成到一块硅片上构成的一个小而完善的微型计算机系统,在工业控制领域广泛应用。从上世纪80年代,由当时的4位、8位单片机,发展到现在的300M的高速单片机。

本次设计使用的单片机是AT89S52,是一种低功耗、高性能CMOS 8位微控制器,具有功能全面、技术成熟、性价比高等优点,在实践生产中被大量使用,可为许多嵌入式控制应用系统提供高性价比的解决方案。

1.2设计目的

以之前在书本上所学的单片机基础知识为主导,通过对相关资料的查询,设计完成一个由AT89S52控制的四位拨动开关数码管显示系统,从而加深对之前所学内容的认识,加深对单片机工作过程的理解,同时也很好的对大二所学习的电路板设计、制作进行了巩固复习,提高自己的设计能力和实际动手能力。

2.设计方案

2.1方案一

4位DIP开关从AT89S52的P1口低四位输入,高四位输出,经74LS247译码器译码后控制共阳极数码管的显示,P3口接刷新电路,每次拨动开关都要刷新一次电路。该方案虽然编程相对简单,但原件较多,设计繁琐,且没有发挥单片机的相应优势,不符合最简原则。

2.2方案二

相比第一种方案,方案二的译码部分改由了通过单片机编程实现,共阳数码管接在P0口,由4位DIP开关从P1口低四位输入,经预先编写的程序译码,P0口输出到数码

管显示相应的数字。由于译码部分采用了软件编程实现,省去了译码电路,电路简单,能更好的发挥单片机功能,符合本次课程设计的初衷,因此选用了第二个方案。

3.方案实施

3.1单片机基本结构

AT89S52是一种低功耗、高性能CMOS 8位微控制器,具有8K 系统可编程Flash 存储器。单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52在众多嵌入式控制应用系统中得到广泛应用。AT89S52单片机的引脚分布如图1所示:

图1 AT89S52引脚图

3.1.1单片机引脚介绍:

VCC:电源。

GND:地线。

P0口:8位漏极开路双向I/O口,每个引脚可吸收8TTL门电流。当P1口的管脚第一次写“1”时,被定义为高阻输入。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。P3口也可作为AT89C51的一些特殊功能口。

RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

系统由4位拨动开关、AT89S52单片机、时钟电路、复位电路、一位共阳极数码管、输入输出电路组成。

3.2硬件模块电路

3.2.1电源电路设计

电源电路由桥堆2W10、1个22uF电解电容、7805、1个33pF瓷片电容、一个电源指示灯和一个10k欧姆电阻组成,通过电源电路实现整流、滤波和稳压的作用,能够输出5V的稳定直流电压使单片机工作在稳定的5V直流激励下。但输出电压并打不到准确的5V直流。

电源电路模块原理图如图2所示。

图2 电源电路模块原理图

3.2.2时钟电路设计

时钟电路由2个33pF的瓷片电容和1个12M晶振,连接到AT89S52的18、19管脚,两电容之间通过导线接地。

AT89S52单片机各部件都以晶振产生的时钟控制信号为基准,时钟频率直接影响单片机的速度,时钟电路的质量也直接影响单片机系统的稳定性,本次课程设计的电路为外部时钟方式,如图4所示。

图3 时钟电路设计图

3.2.3复位电路设计

AT89S52的复位是由外部的复位电路实现的。设计复位电路是通过四角按键高电平复位,由1个22uF的电解电容和1个按键及1个1k欧姆的电阻组成,电路模块一端接VCC一端接AT89S52的RST引脚。电路如图5所示。

图4 复位电路设计图

3.2.4数码管电路设计

常见的数码管由七个条状和一个点状发光二极管制成,称为七段数码管,如图6所示。

图5 数码管设计图

共阳极数码管里面的发光二极管阳极接在一起作为公共引脚,在正常使用时此引脚

接电源正极,当发光二极管的阴极接低电平时,发光二极管被点亮,从而显示相应的数字。

3.3 软件程序设计

程序编写要求数码管刚通电时全亮,即显示数字“8”,然后等待4位按键动作,当有按键按下,单片机P1口读入数据相应数据,即4位二进制信号,输出到P0在数码管显示相应数字,程序流程图如图6所示。软件程序见附件7.1。

图6 程序流程图

3.4 软件模拟

原理图设计完成之后就可以利用电脑预先进行模拟,从而检查设计的可行性。本次课程设计使用的有Proteus 7 Professional和Keil C51这两个软件。

首先在Proteus 7上新建设计,然后根据之前设计的方案就行绘图,这需要注意调整选择正确的器件和相关的器件参数,将器件按正确的顺序链接,完成后进行详细的检查,确保绘图无误。整体绘制的模拟电路图见附件7.1。

Proteus 7绘图完成后就进入了正式的模拟测试阶段,测试之前就需要使用另外一个

重要的编程软件Keil C51进行编程文件的导出。打开Keil C51,新建一个工程保存到相应文件夹,然后选择相应的单片机型号,本次课程设计使用的是AT89S52,选择完毕之后工程项目就建立了。立了工程项目之后就是为工程添加程序,点击“文件”中的“新建”,新建一个空白文档,在这个空白文档中写入之前编写好的单片机程序,检查无误后保存文件,保存文件时,其文件名要与前面建立的工程名相同,其扩展名必须为“.Asm”,保存后回到编程界面会发现文档彩色语法会起了作用,关键字自动实行了彩色显示。

保存了“.Asm”文件后,在左侧用鼠标右键点击“Source Group 1”,在弹出的菜单中选“增加文件到组Source Group 1”将刚保存的程序添加到工程中。在接下来弹出的窗口中,选择刚刚保存的“.Asm ”文件,点击“Add”,再按“关闭”,文件就成功添加到了工程中。

向工程添加了程序文件后,鼠标右键点击“TarGet 1”,在弹出的菜单中选则“目标Target 1 属性”打开对话框,在打开的话框中,选择“输出”选项卡,在这个选项卡中勾选“E 生成hex文件”后,点击“确定”。最后,从菜单的“工程”中执行“R重新构造所有目标”,创建“h ex ”文件,它就是要烧写到单片机中的最终代码,也就是单片机可以执行的程序,生成之后就可以写入单片机。

完成后回到Proteus 7软件中,双击AT89S52,在“program file”中选择刚刚生成的“.hex”文件,模拟电路图的程序写入就完成了。点击Proteus 7左下角的运行按键就可进行模拟实现四位DIP控制数码管显示十六进制“1-F”了。

3.5 PCB制作

在布线前要在Altium Designer中完成对电路图元件的封装。在封装时,要注意,因为对于同一个元件可能有多种封装方式。封装时根据所给元件的类型选择合适的封装对各个元件进行封装。封装完成后,把原理图导入PCB板。导入PCB板后,再对元件的位置重新手动摆放,使尽量少的线重叠,以减少布线时的跳线出现。

根据Proteus Pro仿真原理图画出Altium Designer原理图,根据需求布线布局,画成的PCB图见附件7.2。作PCB中,根据制作过程的要求,制成单层板,PCB制版中焊盘半径设置为0.9mm,电源和地导线的宽度设置为0.6到0.8mm,信号线设置为0.5mm,当导线从两个焊盘之间穿过时设置宽度为0.5mm。

印刷电路板的流程:首先,在制作单层板时把PCB布线图打印为PDF文件,使用油光纸,留bottom layer、multi-layer、keep-out layer;其次,把覆铜板切割成设计要求

的尺寸,把打印好的图纸放在覆铜板上,并在转印机上转印,转印后如果有个别断线可用黑色油墨笔补全;再次,将做好的板子放在盐酸溶液中腐蚀,直到导线周围的铜箔被腐蚀掉然后洗净钻孔,之后用砂纸把覆铜板导线表面的墨擦掉,把铜露出来,在打磨过程中注意不要将比较细的铜线磨掉了。

3.6 安装与调试

3.6.1测量电压法

首先要确认的是各芯片电源引脚的电压是否正常,其次检查各种参考电压是否正常,另外还有各点的工作电压是否正常等。

3.6.2信号注入法

将信号源加至输入端,然后依次往后测量各点的波形,看是否正常,以找到故障点。有时我们也会用更简单的办法,例如用手握一个镊子,去碰触各级的输入端,看输出端是否有反应,这在音频、视频等放大电路中常使用(但要注意,热底板的电路或者电压高的电路,不能使用此法,否则可能会导致触电)。如果碰前一级没有反应,而碰后一级有反应,则说明问题出在前一级,应重点检查。

3.6.3其它的寻找故障点的方法

例如看、听、闻、摸等。听工作声音是否正常,用手去试探器件的温度是否正常,检查是否有异味。

4. 结果与结论

4.1结果

电路板成功焊接,检查焊接无误后,在指导老师处向AT89S52芯片中烧入之前编程生成的“hex”程序文件,通电后打开开关,数码管默认显示为“8”,调整拨动4位DIP开关按二进制“0000-1111”进行输入:

拨码开关位为“0000”,数码管显示“0”;

拨码开关位为“0001”,数码管显示“1”;

拨码开关位为“0010”,数码管显示“2”;

拨码开关位为“0011”,数码管显示“3”;

拨码开关位为“0100”,数码管显示“4”;

拨码开关位为“0101”,数码管显示“5”;

拨码开关位为“0110”,数码管显示“6”;

拨码开关位为“0111”,数码管显示“7”;

拨码开关位为“1000”,数码管显示“8”;

拨码开关位为“1001”,数码管显示“9”;

拨码开关位为“1010”,数码管显示“A”;

拨码开关位为“1011”,数码管显示“B”;

拨码开关位为“1100”,数码管显示“C”;

拨码开关位为“1101”,数码管显示“D”;

拨码开关位为“1110”,数码管显示“E”;

拨码开关位为“1111”,数码管显示“F”;

按复位键后数码管显示为“8”。

4.2结论

本次课程设计主要依靠了单片机的人机对话,以4为DIP开关作为输入设备,通过关断将信号输出到单片机AT89S52的输入端口中,经单片机译码,转化为控制端数码管显示器的输出信号,从而使数码管上显示的数字可以得出之前设计要求的相应内容,在本次课程设计中就是实现1到F的十六个字符的显示。

虽然本次课程设计成功实现了预想功能,但中间也因为对知识技巧把握的不好而出了一些问题,例如在PCB板的设计中,因为并没有事先对数码管元件进行相应的引脚功能的测量和详细的元件参数查询,在进行Altium Designer选择原件时错误的将本应是a、b、c、d、e、f、g、DP、A、A对应7、6、4、2、1、9、10、5、3、8封装引脚的封装选择成了对应10、9、8、5、4、2、3、7、1、6封装引脚的错误封装,造成了数码管引脚的错位,不过因为发现及时,通过跳线进行了相应的补救,虽然影响了整体的布局和美观度,但也终归是实现了相应的设计要求。这也给了我一个教训,在拿到元件之后第一件事就是要详细的搞清楚元件的封装和引脚,看看是否和自己设计时电路时预想使用的是一样的,不然轻者设计制作会失败无法工作,重则很可能会烧毁器件甚至发生事故。

5. 收获与致谢

经过两个星期的单片机的课程设计,我们成功制作并实现了4位DIP开关控制数码管显示系统。从最初的选题到制作直到完成论文,其间,查找资料,老师指导,与同学交流,反复修改,每一个过程都是对自己能力的一次检验和充实。通过这次实践,我了解了AT89S52单片机的用法及工作原理,熟悉了单片机电路的设计步骤,更好的掌握单片机的硬件特性以及汇编语言的程序设计,锻炼了设计实践能力,学会了DXP以及Proteus 7和keilc51软件的基本使用,为以后的学习奠定了一定的实践基础。此次设计是对我专业知识和专业基础知识一次实际检验和巩固,同时也是走向工作岗位前的一次热身。同时此次设计也暴露出自己专业基础的很多不足之处,比如缺乏综合应用专业知识的能力,对元件、软件的不了解等等,这都促使我对进一步学习产生了更浓厚的兴趣。

这次课程设计要特别感谢李志瑞老师的耐心辅导以及在设计和论文创作中给出的宝贵建议和意见,在他的指导下,我收获很多,对学习更加感兴趣,自身能力也得到了提升。在此我对李老师表示由衷的感谢。

6. 参考文献

[1] 张毅刚. 单片机原理及应用[M]. 北京:高等教育出版社,2010.

[2] 杜尚丰. CAN总线测控技术及其应用[M]. 北京:电子工业出版社,2007.

[3] 施隆照. 数码管显示驱动和键盘扫描控制器CH51及其应用[J]. 国外电子元器件,2004.

[4] 童诗白,华成英. 模拟电子技术基础(第四版)[M]. 北京:高等教育出版社,2006.

[5] 臧春华. 电子线路设计与应用[M]. 北京:高等教育出版社,2005.

[6] 谢嘉奎. 电子线路. 北京:高等教育出版社[M]. 2004.

[7] 王守中,聂元铭. 51单片机开发与典型事例[M]. 北京:人民邮电出版社,2009.

7. 附件

7.1程序

A_BIT EQU 20H

YI EQU 21H

ER EQU 22H

SI EQU 23H

BA EQU 24H

P1_BUF EQU 25H

ORG 00H

LJMP POWER_ON

ORG 30H

POWER_ON: ;上电程序从此处开始进行

MOV A_BIT,#00H ;初始化寄存器

MOV P0,#0FFH ;读端口前初始化端口

MOV P1,#0FFH

MOV P2,#0FFH

MOV P3,#0FFH

MOV A,P1 ;读一下P1端口,保存当前端口状态MOV P1_BUF,A

LCALL DELAY ;调延时

PPT:

MOV A,P1 ;再读端口状态

CJNE A,P1_BUF,START

MOV P0,#00H ;没变化,显示8

LJMP PPT ;跳回重新检测

START:

LCALL DISP ;调显示子函数

MOV YI,#0 ;清每个开关按下代表的值寄存器

MOV ER,#0

MOV SI,#0

MOV BA,#0

MOV A_BIT,#00H ;清开关值和寄存器

MOV A,P1 ;读P1口

ANL A,#0FH ;屏蔽高4位(P1.7-P1.4) LCALL DELAY ;调延时,按键消抖

JB P1.0,START1 ;P1.0口没合上,跳转判断P1.1口MOV YI,#1 ;P1.0口开关合上,键值赋1 START1:

JB P1.1,START2 ;P1.1口没合上,跳转判断P1.2口MOV ER,#2 ;P1.1口开关合上,键值赋2 START2:

JB P1.2,START3 ;P1.2口没合上,跳转判断P1.3口MOV SI,#4 ;P1.2口开关合上,键值赋4 START3:

JB P1.3,START4 ;P1.3口没合上,跳转键值累加MOV BA,#8 ;P1.3口开关合上,键值赋8 START4:

CLR C

MOV A,YI ;键值累加

ADD A,ER

ADD A,SI

ADD A,BA

MOV A_BIT,A

LJMP START ;返回主循环

DISP:

MOV DPTR,#NUMTAB ;指定查表起始地址

MOV A,A_BIT ;取和各位数

MOVC A,@A+DPTR ;查各位数的7段代码

MOV P0,A ;送出各位的7段代码到P0口RET

DELAY: ;1ms延时子程序

MOV R4,#250

D1: NOP

NOP

DJNZ R4,D1

RET

NUMTAB: ;对应数据代码

DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H

DB 80H,90H,88H,83H,0C6H,0A1H,86H,8EH

END

7.2电路原理图

图7 电路原理图1

图8 电路原理图2

7.3 PBC布线图

图9 PCB原理图

7.4元器件清单

元器件清单

单片机控制步进电机和数码管显示

一、设计任务书 设计内容:用80C51单片机设计一个步进电机控制器 设计要求: 1.用8015设计一个四相步进电机。 2.可控制步进电机的启动与停止,正转与反转。 3.10档速度调节。 4.点动控制。 5.可显示电机运行参数。 二、设计总体方案 (一)控制方式的选择 控制主要用于电机速度和方向的转换。控制方式有按键控制和开关控制两种。按键较开关而言,操作更加简便,故选按键控制。 方案一:独立按键。独立按键可自由连接,线路简单。 方案二:编码式键盘。编码式键盘的按键接触点接于74LS148芯片。当键盘上没有闭合时,所有按键都断开,当某一键闭合时,该键对应的编码由74LS148输出。 本次设计所需按键不多,不需要采用复杂编码,考虑硬件条件、线路连接和经济性等方面,选择方案一。 (二)电机电路设计方案的选择 由于条件的限制,对于电机的选择只能是实验台上最小步距角18°的电机,其中已包含了驱动电路。 (三)单片机的选择 方案一:AT89C51高性能8位单片机,内部集成CPU、存储器、寄存器、I/O接口,从而构成较为完整的计算机,价格便宜。 方案二:C8051F005单片机,该单片机是完全集成的混合信号系统及芯片,具有8051兼容的微控制器内核,与MCS-51指令集完全兼容。除了具有标准8052的数字外设部件,片内还继承了数据采集和控制系统中常用的模拟部件和其他数字外设及功能部件,执行速度快,但价格较贵。 本次课程设计是在仿真环境下进行,没有太过考虑单片机选择的问题,但就设计本身来讲,从物美价廉的角度考虑,选择方案一较合适。 (四)显示方案的选择 方案一:采用LED数码管。LED数码管是轮流现实的,其利用人烟的视觉暂留特性,使人感觉不到数码管闪动,看到每只数码管都常亮。利用其显示必须不停给数码管数据输入口循环赋值,显示内容较多,编程和接线较为复杂。 方案二:采用LCD1602液晶显示器。LCD1602具有功率小,效果明显,变成容易等优点,且它最多能显示2×16个字符,可以轻松满足设计要求。 由上可知,LCD1602液晶显示器的优点突出,故选择方案二。 (五)软件部分的选择 软件部分的选择主要是指编程语言的选择,编译调试工具根据设计平台选择伟福软件。编程语言主要有以下两种方案。

实验三 数码管显示实验

实验十九数码管显示实验 一、实验目的 1、了解数码管的显示原理; 2、掌握数码管显示的编程方法。 二、实验内容 1、编写数码管显示程序,循环显示0-F字符 三、实验设备 1、硬件: JX44B0实验板; PC机; JTAG仿真器; 2、软件: PC机操作系统(WINDOWS 2000); ARM Developer Suite v1.2; Multi-ICE V2.2.5(Build1319); 四、基础知识 1、掌握在ADS集成开发环境中编写和调试程序的基本过程。 2、了解ARM 应用程序的框架结构; 3、了解数码管的显示原理; 五、实验说明 1、LED显示原理 发光二极管数码显示器简称LED显示器。LED显示器具有耗电低、成本低、配置简单灵活、安装方便、耐震动、寿命长等优点,目前广泛应用于各类电子设备之中。 7段LED由7个发光二极管按“日”字排列。所有发光二极管的阳极连接在一起称共阳极接法,阴极连接在一起称为共阴极接法。一般共阴极可以不需要外接电阻。 其中各二极管的排列如上图在共阳极接法中,如果显示数字“5”,需要在a、c、d、f、g端加上高电压,其它加低电压。这样如果按照dp、g、fe、d、c、b、a的顺序排列的话对应的码段是:6DH。其它的字符同理可以得到。

2、数码管显示驱动 数码管的显示一般有动态显示和静态显示两大类,另外按照驱动方式又分串行驱动和并行驱动两种方式。串行驱动主要是提供串-并转换,减少控制线数量;并行驱动对每一个段提供单独的驱动,电路相对简单。这方面参看数字电路相关内容。 下面主要介绍静态显示和动态显示: 1)静态显示: LED数码管采用静态接口时,共阴极或共阳极节点连接在一起地或者接高电平。每个显示位的段选线与一个8位并行口线相连,只要在显示位上的段选位保持段码电平不变,则该位就能保持相应的显示字符。这里的8位并行口可以直接采用并行I/O口,也可以采用串行驱动。相应的电路如下: 很明显采用静态显示方式要求有较多的控制端(并行)或较复杂的电路(串行)。但是在设计中对器件的要求低。

PLC彩灯控制课程设计

P L C彩灯控制课程设计 The Standardization Office was revised on the afternoon of December 13, 2020

基于P L C 的彩灯控制

目录 课程设计任务书 (1) 引言 (2) 一 PLC的定义及发展 (3) 二系统分配 (6) 硬件分配图 (6) 软件分配图 (7) 三方案设计 (8) 顺序工程图 (8) 程序运行步骤 (9) 四总结 (10) 参考文献 (11)

基于PLC的彩灯控制 一、控制要求: 系统启动后,L1、L5同时亮,然后熄灭;之后L2、L8同时亮,然后熄灭;接着L3、L7同时亮,然后熄灭;最后L4、L6同时亮,然后熄灭,按照此方式循环5次后再逆向闪烁5次,并循环。 二、设计任务 1.设计出硬件系统的结构图、接线图; 2.系统有启动、停止功能; 3.运用功能指令进行PLC控制程序设计; 4.程序结构与控制功能自行创新设计; 5.进行系统调试,实现上述功能。

引言 随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字电路则不能胜任。针对PLC日益得到广泛应用的现状,本文介绍PLC在不同变化类型的彩灯控制中的应用,灯的亮灭、闪烁时间 及流动 方向的控制均通过PLC来达到控制要求。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过PLC控制。流水灯负载变化频率高,变换速度快,使人有眼花缭乱之感,分为多灯流动、单灯流动等情形。变幻灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化,但频率不高。流水灯及变幻灯均适宜采用PLC控制。 关键词:PLC 循环控制

实验四 数码管显示控制

实验四数码管显示控制 一、实验目的 1、熟悉Keil uVision2软件的使用; 2、掌握LED数码管显示接口技术; 3、理解单片机定时器、中断技术。 二、实验设备及仪器 Keil μVision2软件;单片机开发板;PC机一台 三、实验原理及内容 1、开发板上使用的LED数码管是四位八段共阴数码管(将公共端COM接地GND),其内部结构原理图,如图4.1所示。 图4.1共阴四位八段LED数码管的原理图 图4.1表明共阴四位八段数码管的“位选端”低电平有效,“段选端”高电平有效,即当数码管的位为低电平,且数码管的段为高电平时,相应的段才会被点亮。 实验开发板中LED数码管模块的电路原理图,如图4.2所示。 a~h SP2 SP1 P0.0~P0.3 P0.4~P0.7图4.2 LED数码管模块电路原理图

图中,当P1.0“段控制”有效时,P0.0~P0.7分别对应到数码管的a~h段。当P1.1“位控制”有效时,P0.0~P0.7分别对应到DIG1~DIG8。 训练内容一:轮流点亮数码管来检测数码管是否正常。参考程序: ORG 00H AJMP MAIN MAIN: SETB P1.2;LED流水灯模块锁存器的控制位 MOV P0,#0FFH;关闭LED灯 CLR P1.2 SETB P1.3 ;点阵模块的行控制锁存器 MOV P0,#0 ;关闭点阵行 CLR P1.3 MOV A,#11111110B;数码管“位选信号”初值,低电平有效 LOOP:SETB P1.1;数码管位控制锁存器有效 MOV P0,A CLR P1.1 RL A ;形成新的“位选信号”,为选择下一位数码管做准备 SETB P1.0;数码管段控制锁存器有效 MOV P0,#0FFH ;数码管的所有段点亮,显示“8” CLR P1.0 CALL DELAY SJMP LOOP DELAY:MOV R5,#0;延时子程序 D1: MOV R6,#0 D2:NOP DJNZ R6,D2

单片机实验——数码管显示

单片机实验——数码管显示

数码管显示 一、数码管静态显示 1、电路图 图1 2、电路分析 该电路采用串行口工作方式进行串行显示实验,串行传输数据为8位,只能从RXD端输

入输出,TXD端用于输出同步移位脉冲。当CPU 执行一条写入发送缓冲器SBUF的指令时,产生一个正脉冲,串行口开始将发送缓冲器SBUF 中的8位数据按照从低位到高位依次发送出去,8位数据发送完毕,发送结束标志TI置1,必须由软件对它清0后才能启动发送下一帧数据。 因此,当输完8个脉冲后,再一次来8个脉冲时,第一帧的8位数据就移到了与之相连的第二个74LS164中,其他数据依此类推。 3、流程图

发送数据 二、数码管动态显示 1、电路图

图2 2、电路分析 R1-R7电阻值计算:一个7-seg 数码管内部由8段LED 组成,因此导通电压和电流与LED 灯相同,LED 导通压降大概在 1.5V-2.2V ,电流3mA-30mA ,单片机的工作电压是5V , 所以 一般取Rmin 和Rmax 中间值,330Ω、470Ω、510Ω。 由于P0口内部没有上拉电阻,所以在P0 口接1003025Im min 1325Im max =-===-==mA V V an U R K mA V V in U R

排阻,上拉电压。如果没有排阻的话,接上拉电阻时需要考虑数码管的电流,如果太小的话,是驱动不了数码管的。如图3: 发现电流大于5mA时,数码管才能亮,与前面电流最小3mA不符,因此计算数码管电流时使其在10mA-20mA之间,确保能驱动数码管亮。 两个74HC573实现对六位数码管的段选和位选,控制端为LE(第11脚)。 3、思路分析 先使第一个573输出同步,把数据送入573中,然后锁存,第二个573输出同步,打开第一个数

数字电路课程设计--遥控开关设计

中国地质大学长城学院 电气工程及其自动化课 程设计 题目数字电子课程设计 遥控开关设计 系别信息工程系 学生姓名 专业电气工程及其自动化 学号 指导教师 职称高级工程师 2011年11月21日 目录 摘要 (1) 一、实验内容 (2) 1、概述 (2) 2、课程设计任务及要求 (2) 3、系统设计 (2) 二、元件及工具说明 (3)

(1)继电器 (4) (2)稳压管的工作原理 (5) 三、安装调试过程 (6) 四、故障分析 (6) 五、总结 (7) 心得体会 (7) 摘要 随着无线通信技术的发展,目前,一些只由微控制器和集成射频芯片构成的无线通信模块不断推出,这种微功率短距离无线数据传输技术在工业、民用等领域得到应用广泛。无线射频技术作为本得到业界的高度重视。该技术利用射频方式进行非接触双向通信,可以自动识别目标对象并获取相关数据,具有精度高、适应环境能力强、抗干扰强、操作快捷等许多优点。随着无线电技术的不断成熟,大量遥控设备已经在人们的生活中应用,让我们体会到许多的方便。 随着无线电技术的不断成熟,各种遥控设备已大量地在人们的生活中应用,让我们体会到了许多的方便。本文介绍一款2路遥控开关的制作,采用了数据加密处理,具有可靠性好,不会产生误动作,密码可设定,电路主要由供电部分、无线接收部分、数据解码部分和开关控制部分组成。220V交流市电接在进线端子上,经C1、R1、VD1-VD4组成的降压整流电路后,在CW1上形成24V左右的直流电压,为电路提供工作电源。当接收模块IC2收到遥控器发射的无线电编码信号后,就会在其输出端输出一串控制数据码,这个编码信息经专用解码集成电路IC1解码后,相应继电器吸合,从而点亮电灯,达到遥控控制电灯的目的。 关键词:继电器无线电遥控

数电课程设计-数码管显示控制器的设计与实现

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 数码管显示控制器的设计与实现 初始条件: 555定时器、74LS160计数器、74LS161计数器、74LS153数据选择器、74LS48译码器、74LS04非门与数码管、电阻、电容等相关元件。 要求完成的主要任务: 1、设计任务 根据已知条件,完成对数码管显示控制器的设计、装配与调试。 2、设计要求 (1)、能自动一次显示出数字 0、1、2、3、4、5、6、7、8、9(自然数列),1、 3、5、7、9(奇数列), 0、2、 4、6、8(偶数列),0、1、0、1、2、3、4、 5、6、7(音乐符号序列);然后再从头循环; (2)、打开电源自动复位,从自然数列开始显示。 时间安排: 1、2012 年 6 月 8 日分班集中,布置课程设计任务、选题;讲解课设具体实施计划与课程设计报告格式的要求;课设答疑事项。 2、2012 年 6 月 9 日至 2012 年 7 月 3 日完成资料查阅、设计、制作与调试;完成课程设计报告撰写。 3、2012 年 7 月 4 日提交课程设计报告,进行课程设计验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) Abstact (4) 引言 (5) 1设计背景 (6) 1.1设计任务 (6) 1.2设计要求 (6) 1.3指导思想 (6) 2方案论证 (7) 2.1方案说明 (7) 2.2方案原理 (7) 3电路的设计与分析 (8) 3.1电路的总体设计 (8) 3.2电路的原理框图 (9) 3.3元电路的设计与分析 (9) 3.3.1多谐振荡电路的设计与分析 (9) 3.3.2计数电路的设计与分析 (11) 3.3.3译码显示电路的设计与分析 (13) 4电路仿真、调试与分析 (16) 4.1脉冲产生电路的仿真 (16) 4.2总电路的仿真 (17) 4.3运行结果分析 (17) 5心得与体会 (18) 附录1元器件清单 (19) 附录2参考文献 (20)

拨码开关输入数码管显示实验

综合课程设计实验报告 班级: 姓名: 学号:11 指导老师:

实验名称: 拨码开关输入数码管显示实验 实验要求: 1. 掌握数码管显示原理 2. 掌握拨码开关工作原理 3. 通过FPGA用拨码开关控制数码管显示 实验目标: 4位拨码开关分别对应4位数码管,拨动任意1位开关,对应的数码管将显示数字1,否则显示数字0。 实验设计软件 Quartus II 实验原理 1.数码管显示模块 电路原理图:

如图所示,数码管中a,b,c,d,e,f,g,dp分别由一个引脚引出,给对应的引脚高电平,则对应引脚的LED点亮,故我们在程序中可以设定一个8位的二进制数reg【7:0】h,每一位对应一个相应的引脚输出,那么我们就可以通过对x的赋值,控制对应的8个LED亮灭的状态进行数字显示。例如,如果我们显示数字2,则在数码管中,a、b、d、e、g亮,c、f、dp不亮,则显示的是数字2,即h=’b代表显示数字2。 2.拨码开关模块 电路原理图: 拨码开关有8个引脚,每个引脚对应于数码管的一个LED灯,当拨码开关的一个引脚是高电平时,则对应的数码管一个LED灯亮,其他7个LED等不亮。通过此原理来实现数码管的LED灯亮暗情况从而实现数码管的数字显示。例如当第一个拨码接通时,此时输入信号为8'b对应的数码管的输出信号为out=8'b,此时相当于数码管a,b,c,d,e,f,g亮,7段数码管全部显示,显示的数字为8。 程序代码 module bomakaiguan(out,key_in,clk); assign p='b1111; output[7:0] out=8'b; input[7:0] key_in; input clk; reg[7:0] out; always @(posedge clk) begin case(key_in) 8'b: out=8'b;

单片机数码管显示系统课程设计

数码管显示与键盘扫描系统 摘要: 现如今已经跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。不过,这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。这种计算机的最小系统只用了一片集成电路,就可进行简单运算和控制。因为它体积小,通常都是放置在一个机械装置的内部。它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词——“智能型”,如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。数码管显示与键盘扫描系统是单片机系统中十分典型的应用,可将4×4键盘的按键对应显示在数码管上。 关键词:单片机数码管 一、绪论 1. 研究意义 用单片机驱动LED数码管有很多方法,按显示方式可分静态显示和动态(扫描)显示;按译码方式可分硬件译码和软件译码。静态显示数据稳定,占用很少的CPU 时间。动态显示需要CPU时刻对显示器件进行数据刷新,显示数据有闪烁感,占用的CPU时间多。LED数码管的外围电路一般需要一个限流电阻和加大驱动电流的晶体管。 LED数码管是由发光二级管显示字段组成的显示器,有“8”字段和“米”字段之分,这种显示器有共阳极和共阴极两种。实际上不用驱动电路即可达到正常亮度,为了可靠性设计可采用晶体管构成驱动电路。 2. 设计目的 在单片机的产品设计中,人机界面是非常重要的部分,而且随着系统的日益复杂,以及人们对产品的人机交互能力的要求不断提升,常握单片机系统中的人机界面基础设计能力成为了学习单片机的基础课程,而4X4键盘的操作和LED数码管的动态显示是人机界面设计的基础内容,掌握这些基础设计能力,加深对人机界面的认识,同时提高人机界面系统设计能力。

电灯控制开关课程设计.

电子课程设计 ——电灯控制开关 学院:电子信息工程学院 专业、班级:通信141501 姓名:陈雪峰 学号:201415030103 指导教师:康琳 2016年12月

电灯控制开关 目录 一、设计任务与要求 (3) 二、总体框图 (3) 1、总体框图 (3) 2、微分电路 (3) 3、状态机 (4) 4、10秒定时器 (6) 三、选择器件 (7) 1、可预置数同步可逆(加减)十进制计数器74LS190 (8) 2、具有异步置位和复位端的边沿触发双D触发器74LS74 (9) 3、四2输入与非门74LS03D (11) 4、非门74LS04D (12) 5、三输入与门74LS11 (12) 四、功能模块 (13) 1、微分电路 (13) 2、状态机 (14) 3、10秒定时器 (15) 五、总体设计电路图 (15) 六、硬件调试结果 (20) 七、课程设计心得 (21)

电灯控制开关 一、设计任务与要求 一个电灯控制开关,该开关有一个按钮,当按钮按下1次,则电灯亮10秒钟后灭;当按钮按下2次(包括前一次),则电灯常亮不灭;当再按一次,则电灯灭。 二、总体框图 1、总体框图 由题意可以得到该开关的设计原理框图如图1所示,图中k是低电平有效的按钮信号,kd是按钮信号k的下降沿微分信号,td10是10秒定时器定时时间到信号(高电平有效),deng是电灯控制信号(高电平有效),t10是10秒定时器启动信号(高电平有效)。 图1 总体框图 2、微分电路 该状态机的按钮信号K,经过微分电路再输入状态机,使其低电平持续时间小于状态机的时钟周期,保证按钮按下一次,状态机只转移一个状态。因此为了使系统的稳定性增高,可以用两个D触发器组成微分电路对k信号进行微分。

51单片机控制4个数码管显示

. //使用AT89c51单片机控制四个数码管动态显示0-9999 ,12MHz #include void jiayi();//加1函数 void chufa();//除法函数 void xianshi();//显示函数 void delay();//延时函数 sbit P2_0=P2^0;//个位位码 sbit P2_1=P2^1;//十位位码 sbit P2_2=P2^2;//百位位码 sbit P2_3=P2^3;//千位位码 unsigned char qianwei,baiwei,shiwei,gewei; unsigned int count=0; unsigned char code dis[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; //共阳极0-9 void main() { while(1) { jiayi(); chufa(); xianshi(); } } void chufa()//将数字的各个位拆开 { qianwei=count/1000;//千位数 baiwei=count%1000/100; //百位数 shiwei=count%100/10; //十位数 gewei=count%10; //个位数 } void jiayi() { count=count+1; if(count==10000) count=0; } void delay()//延时 { unsigned int i,j; for(i=0;i<10;i++) { for(j=0;j<200;j++); } }

开关控制二极管亮灭+数码管

开关控制二极管亮灭 P1.5=1 P2.1=1 P1.5=0 P2.1=0 START: JB P1.5 , LOOP ;判断开关是断开还是闭合,条件是:P1.5是不是 等于1 CLR P2.1 ;闭合 LJMP START LOOP: SETB P2.1 ;断开 LJMP START END

START: JB P1.5 , LOOP ;判断开关是断开还是闭合,条件是:P1.5是不是 等于1 CLR P2.1 ;闭合 SETB P2.2 LJMP START LOOP: SETB P2.1 CLR P2.2 LJMP START END

START: JB P1.5 , LOOP CLR P2.1 JB P1.6 ,LOOP1 CLR P2.2 LJMP START LOOP: SETB P2.1 JB P1.6 ,LOOP1 CLR P2.2 LJMP START LOOP1:SETB P2.2 LJMP START END

Start: Jb p1.5, dianqi Clr p2.1 Loop1: Djnz r0 ,loop1 Djnz r1 ,loop1 Setb p2.1 Loop2: Djnz r0 ,loop2 Djnz r1 ,loop2 Ljmp start Dianqi: Setb p2.1 Ljmp start end

2.标注端口 4.

START: JNB P0.0 , BAOJING JB P0.1 , BAOJING SETB P1.0 SETB P1.1 clr P2.0 LJMP START BAOJING: SETB P1.0 CLR P1.1 Clr P2.0 LOOP: DJNZ R0, LOOP DJNZ R1,LOOP CLR P1.0 SETB P1.1 SETB P2.0 LOOP1: DJNZ R0, LOOP1 DJNZ R1,LOOP1 LJMP start END P1.0 P0.0 P0.1 P1.0 P1.1 P2.0

嵌入式系统课程设计---基于ARM微处理器的数码管驱动设计-任务书(1)

河南工业大学嵌入式课程设计 课程设计题目:基于ARM微处理器的数码管驱动设计学院:信息科学与工程学院 班级:电科1304 姓名: 学号:2013160304 指导老师姓名:李智慧

13级电科专业课程设计任务书

基于ARM微处理器的数码管驱动设计 一、设计要求 1.1实验内容: 1. 利用ARM微处理器实现数码管显示驱动; 2.能够显示0 1 2 3 4 5 6 7 8 9等数字,且循环显示; 3. 通过按键改变循环显示速度; 1.2实验设备: 硬件:PXA270 实验平台,PXA270 ARM 标准/增强型仿真器套件,PC 机。 软件:VMware Workstation、Ubuntu、win7。 二、设计方案 本次试验选用的芯片为 PXA270 ,使用的是 PXA270集成试验箱。基于 IntelXScale 架构的 PXA270处理器,集成了存储单元控制器、时钟和电源控制器、 DMA控制器、 LCD控制器、 AC97控制器、 I2S 控制器、快速红外线通信 (FIR) 控制器等外围控制器,可以实现丰富的外围接口功能。其低电源运行模式以及动态电源管理技术可以有效的降低电源的功耗。使用试验箱上的 4X4 的矩阵键盘作为输入,用来控制数码管显示的快慢速度,将需要输出的各个数码管状态从两个数码管中输出。 三、设计原理 1、数码管结构 七段数码管由 8 个发光二极管排列组成(包括小数点位)如下图所示: 这 8 个独立的二极管通常被命名: a.b.c.d.e.f.g.h 。 h 表示小数点。利用 7 段数码管能显示所有数字以及部分英文字母。 数码管有 2 种不同的形式:一种是 8 个发光二极管的阳极都连一起,成为共 阳极 8 段数码管如图所示: 共阳极 8 段数码管的 8 个发光二极管的正极一起接 VCC ,要控制数码管中的某一段亮,比如 A段,只须要控制数码管的 A 脚为低电平就可以了,反之熄灭 A 段就控制 A 脚为高电平。

自动控制原理课程设计 (PWM开关放大器驱动控制系统校正装置设计)

自动控制原理课程设计题目 PWM开关放大器驱动控制系统校正装置设计 专业电气工程及其自动化 姓名 班级学号 指导教师职称副教授

一、设计目的 通过课程设计,在掌握自动控制理论基本原理、一般电学系统自动控制方法的基础上,用MATLAB 实现系统的仿真和测试。 二、设计要求 收集和查阅有关技术资料,独立完成所承担的设计课题的全部内容,初步掌握设计原则、设计方法、设计步骤、和设计规范的应用;对工程设计方案进行选择和分析;绘制设计图; 撰写说明书,具体要求如下: 1、根据所学控制理论知识(频域法、根轨迹法等)进行人工设计校正装置,初步设计出校正装置传递函数形式及参数; 2、在MATLAB 下,用simulink 进行动态仿真,在计算机上对人工设计系统进行仿真调试,使其满足技术要求; 3、确定校正装置的电路形式及电路参数(选作); 4、完成设计报告。 三、设计题目 某型脉冲宽调(PWM )开关放大器驱动控制系统校正装置设计。 已知某型脉冲宽调(PWM )开关放大器驱动控制为单位反馈系统,其开环传递函数为:()) 4)(1(0++= s s s K s G ,使用bode 图设计法对系 统进行滞后串联校正设计,使系统满足:静态速度误差系数110-=s K V ;系统校正后的增益裕量dB K g 10≥;系统校正后的相角裕量 50=γ。 四、设计原理

所谓校正,就是在系统中加入一些其参数可以根据需要而改变的机构或装置,使系统整个特性发生变化,从而满足给定的各项性能指标。串联校正设计比反馈校正设计简单,也比较容易对信号进行各种必要的形式变化。 当一个系统的动态响应是满足要求的,为改善稳态性能,而又不影响其动态响应时,可采用串联滞后校正装置。可增加一对相互靠得很近并且靠近原点的开环零、极点,使系统的开环放大倍数提高β倍,而不影响对数频率特性的中、高段特性。 串联滞后校正装置还可利用其低通滤波特性,将系统高频部分的幅值衰减,降低系统的剪切频率,提高系统的相角裕量,以改善系统的稳定性和其他动态性能,同时应保持未校正系统在要求的开环剪切频率附近的相频特性曲线基本不变。 四、设计步骤 1、根据静态速度误差系数V K 确定开环增益K ; 2、利用已确定的开环增益K ,画出校正前系统的对数频率特性bode 图,并求出相角裕量0γ,幅值裕量g K ; 3、在bode 图上求出未校正系统相角裕量εγγ+=期望值处的频率 2c ω,2c ω作为矫正后系统的剪切频率,ε用来补偿滞后校正网络2c ω处 的相角滞后,通常取 5=ε~ 15; 4、令未校正系统在2c ω处的幅值为βlg 20,由此确定滞后网络的β值; 5、确定滞后校正网络的第二个转折频率为2 1 2 2c c ωτ ω= =~ 10 2 c ω;

单片机实验四 I O显示控制实验(数码管显示实验)

电子信息工程学系实验报告 课程名称:单片微型计算机与接口技术Array 实验项目名称:实验四 I/O显示控制实验实验时间: 班级: **** 姓名:**** 学号:******** 一、实验目的: 1、熟悉keil仿真软件、proteus仿真软件、软件仿真板的使用。 2、了解并熟悉一位数码管与多位LED数码管的电路结构、与单片机的连接方法及其应用原理。 3、学习proteus构建LED数码管显示电路的方法,掌握C51中单片机控制LED数码管动态显示的原理与编程方法。 二、实验环境: 1、Windows XP系统; 2、Keil uVision2、proteus系列仿真调试软件 三、实验原理: 1、LED数码管的结构和原理 LED显示器是由发光二极管显示字段的显示器件。在单片机应用系统中通常使用的是七段LED,这种显示器有共阴极与共阳极两种。 (a)共阴极LED显示器的发光二极管阴极共地,当某个发光二极管的阳极为高电平时,该发光二极管则点亮; (b)共阳极LED显示器的发光二极管阳极并接。 2、七段显示器与单片机接口:只要将一个8位并行输出口与显示器的发光二极管引脚相连即可。8位并行输出口输出不同的字节数据即可获得不同的数字或字符,如下表所示。通常将控制发光二极管的8位字节数据称为段选码。 八段选码(显示码)的推导(以共阳数码管显示C为例): 要显示C则a、f、e、d四个灯亮2.为是共阳数码管,则a、f、e、d应送0时亮3.dp-a为11000110B 3、多位数码管的显示:电路结构、动态静态两种实现原理: LED显示器有静态显示与动态显示两种方式。 (1) LED静态显示方式 各位LED的位选线连在一起接地或接+5V;每位LED的段选线(a-dp)各与一个八位并行口相连; 在同一时间里每一位显示的字符可以各不相同。

单片机课设数码管显示滚动控制

《单片机设计与实训》 设计报告 题目:数码管滚动显示控制姓名:王伟杰 班级:自动化四班 学号: 2014550430 指导老师:张莹 提交日期: 2016年10月29日

目录 一、设计题目与要求 (4) 1.1设计题目 (4) 1.2设计要求 (4) 二、系统方案设计 (4) 2.1硬件电路设计 (5) 1.单片机最小系统简介 (5) 2.数码管显示电路 (7) 2.3硬件选型及说明 (8) 1. ST89C51单片机 (8) 2. 四位一体七段共阴极显示数码管 (10) 三、系统原理图设计与仿真 (11) 3.1系统仿真图 (11) 3.2系统仿真结果 (12) 四、程序设计 (13) 4.1程序设计 (13) 4.2程序流程图 (15) 五、系统调试 (16) 5.1系统硬件调试 (16) 5.2系统软件调试 (16) 六、总结与体会 (17)

附录一 (19) 附录二 (20) 附录三 (34)

一、设计题目与要求 单片机课程设计是一门实践课程,要求学生具有制作调试单片机最小系统及外设的能力,能够掌握单片机内部资源的使用。单片机课程设计内容包括硬件设计、制作及软件编写、调试,学生在熟练掌握焊接技术的基础上,能熟练使用单片机软件开发环境Keil C51编程调试,并使用STC ISP调试工具采用串口下载方式联调制作的单片机最小系统。单片机课程设计题目包含基本部分及扩展部分,基本部分即单片机最小系统部分,扩展部分是对单片机内部资源及外部IO口的功能扩展,使制作的单片机系统具有一定的功能。 1.1设计题目 数码管滚动显示控制 1.2设计要求 自制一个单片机最小系统,包括串口下载、复位电路,采用两个四位一体数码管作为显示器件,通过按钮选择实现四种滚动显示模式,例如从左至右,从右至左,内缩,外扩等,滚动信息可以是数字或有意义的英文字符。 二、系统方案设计

开关控制数码管的VHDL程序的设计与实现

开关控制数码管的VHDL程序的设计与实现 摘要本设计是利用所学过的电子线路课程知识,利用Quartus II软件,结合所学知识设计一个,具有使用开关控制数码管功能。文章分析了整个电路的工作原理,还说明了各程序模块的功能,并对最终结果就行了总结。通过此次设计加深了对课程的理解,掌握了一些基本逻辑器件的功能和使用方法。本设计通过软件设计电路,方便快捷,避免了硬件布线的繁琐,提高了效率。 关键词开关;数码管; VHDL程序 Abstract Th is design is to use the learned electronic circuit course knowledge, use Quartus II software, combined with the design of a knowledge, which has the function of digital switch control tube. This paper analyzes the whole electric circuit principle of work, also that the apps modules of the system, and the final results will do summary. Through this design deepened to the understanding of the course and master the basic logic devices of some function and use. This design convenient and quick, avoid the hardware wiring trival, improve efficiency through the software design circuit. Keyword Switch;Digital tube;VHDL program 1 前言 VHDL是一种应用广泛的硬件描述语言,设计者可以通过它编写代码,通过模拟器仿真验证其功能,完成逻辑综合与逻辑优化,最后通过下载到相应的可编程逻辑器件(如FPGA)中来实现设计。本设计是利用Quartus II软件,采用VHDL语言设计一个用8个开关对应8个数字显示。按sw0到sw7可以显示1到8的数值,并在选择开关时发出声响。完成后下载到实验箱,实现设计功能。 1.1 Quartus II简介 Max+plus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Max+plus II 的更新支持。Quartus II 是Altera公司继Max+plus II之后开发的一种针对其公司生产的系列CPLD/PGFA器件的综合性开发软件,它的版本不断升级,从4.0版到10.0版,该软件有如下几个显著的特点: 1、Quartus II 的优点 该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的EDA工具软件。该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 2、Quartus II对器件的支持

8位数码管动态显示电路设计.

电子课程设计 — 8位数码管动态显示电路设计 学院:电子信息工程学院 专业、班级: 姓名: 学号: 指导老师: 2014年12月

目录 一、设计任务与要求 (3) 二、总体框图 (3) 三、选择器件 (3) 四、功能模块 (9) 五、总体设计电路图 (10) 六、心得体会 (12)

8位数码管动态显示电路设计 一、设计任务与要求 1. 设计个8位数码管动态显示电路,动态显示1、2、3、4、5、6、7、8。 2. 要求在某一时刻,仅有一个LED 数码管发光。 3. 该数码管发光一段时间后,下一个LED 发光,这样8只数码管循环发光。 4. 当循环扫描速度足够快时,由于视觉暂留的原因,就会感觉8只数码管是在持续发光。 5、研究循环地址码发生器的时钟频率和显示闪烁的关系。 二、总体框图 设计的总体框图如图2-1所示。 图2-1总体框图 三、选择器件 1、数码管 数码管是一种由发光二极管组成的断码型显示器件,如图1所示。 U13 DCD_HEX 图1 数码管 数码管里有八个小LED 发光二极管,通过控制不同的LED 的亮灭来显示出 不同的字形。数码管又分为共阴极和共阳极两种类型,其实共阴极就是将八个 74LS161计数器 74LS138译码 器 数码管

LED的阴极连在一起,让其接地,这样给任何一个LED的另一端高电平,它便能点亮。而共阳极就是将八个LED的阳极连在一起。 2、非门 非门又称为反相器,是实现逻辑非运算的逻辑电路。非门有输入和输出两个端,电路符号如图2所示,其输出端的圆圈代表反相的意思,当其输入端为高电平时输出端为低电平,当其输入端为低电平时输出端为高电平。也就是说,输入端和输出端的电平状态总是反相的。其真值表如表1所示。 图2 非门 表1 真值表 输入输出 A Y 0 1 1 0 3、5V电源 5V VCC电源如图3所示。 图3 5V电源

声光控制照明灯开关课程设计)

成绩评定: 传感器技术 课程设计 题目声光控制照明灯开关

摘要 声光控制照明灯开关,能自动控制白天开关,夜晚亮灯,人走灯灭。具有灵敏、低耗、性能稳定、使用寿命长、节能等特点。这里介绍声、光控制节能灯开关。综合了声、光和延时控制、工作稳定、节电并可延长灯泡寿命。在白天或光线较强的场合,光敏电阻小,即使有较大的振动声响也能控制灯泡不亮;晚上或光线较暗时,光敏电阻随环境的光线减弱而增大,遇到声响、振动后灯自动点亮,经过约一分钟(时间可设定)自动可用于楼梯、厕所等公共场所照明灯的自动管理。选择驻极体传声器、光敏电阻和四二输入与非门CD4011作为基本元件。光敏电阻和声控传感器两种传感器形成了声控、光控和延时三种控制的电路板。实现了电子开关的三种控制。声光控延时开关不仅适用于住宅区的楼道,而且也适用于工厂、办公楼、教学楼等公共场所,它具有体积小、外形美观、制作容易、工作可靠等优点,适用于各种楼 房走廊的照明设备。 【关键词】传感器声控光控节能方便

目录 一、设计目的------------------------- 1 二、设计任务与要求--------------------- 1 2.1设计任务------------------------- 1 2.2设计要求------------------------- 1 三、设计步骤及原理分析 ----------------- 2 3.1设计方法------------------------- 2 3.2设计步骤------------------------- 3 3.3设计原理分析---------------------- 4 四、课程设计小结与体会 ----------------- 5 五、参考文献-------------------------- 6

4位拨动开关控制数码管显示系统设计

课程设计说明书 课程名称:《单片机技术》 设计题目:4位拨动开关控制数码管显示系统设计院(部):电子信息与电气工程学院 学生姓名: 学号:2010020400 专业班级:2010级电气工程及其自动化 指导教师:申庆超 2013年 5 月17 日

课程设计任务书

4位DIP开关控制数码管显示系统设计 摘要:以单片机AT89S52芯片为核心,用7805、桥堆、拨动开关等器件设计一个控制电路,实现由4位拨动开关控制共阳极数码管显示系统的设计。电路由电源模块、复位模块、时钟模块、显示模块等。它由5V直流电源供电,用拨动开关的低四位为输入,控制输出端数码管显示器的输出。用编程语言编写程序,系统能够实现如下功能:上电后数码管默认显示为“8”,调整4位拨动开关按二进制输入,按确定键后数码管显示对应的数字或字母“0”-“F”。 关键词:4位拨动开关;单片机;共阳极数码管;编程语言

目录 1. 设计背景 (1) 1.1单片机设计背景 (1) 1.2设计目的 (1) 2.设计方案 (2) 2.1方案一 (2) 2.2方案二 (2) 2.3方案三 (3) 3.方案实施 (3) 3.1系统组成框图 (4) 3.2输入输出电路设计 (4) 3.3时钟电路与复位电路设计 (5) 3.4电源电路设计 (6) 3.5程序设计 (6) 3.6仿真结果 (7) 4.结果与结论 (9) 4.1结果 (9) 4.2结论 (9) 5. 收获与致谢 (10) 6. 参考文献 (10) 7.附件 (11)

1. 设计背景 1.1单片机设计背景 目前单片机渗透到我们生活的各个领域。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械了。因此,单片机的学习、开发与应用将造就一批计算机应用与智能化控制的科学家、工程师。 1.2设计目的 在理论学习的基础上,通过完成一个单片机多种资源应用并具有综合功能的小系统目标板的设计与编程应用,能够增强我们理论联系实际的能力,进一步熟练相关专业基础知识的综合应用,提高实际动手能力和设计能力。对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到全面的锻炼和提高。

相关文档
最新文档