数电试卷和答案

数电试卷和答案
数电试卷和答案

电子线路分析与实践2期末复习辅导

2010年10月 练习题 一、填空题

1.(11011)2 =(________)10

2.8421BCD 码的1000相当于十进制的数值 。

3.格雷码特点是任意两个相邻的代码中有_______位二进制数位不同。

4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的_________互换,_________互换,_________互换,就得到F 的反函数F 。

5.二极管的单向导电性是外加正向电压时 ,外加反向电压时 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。

7.TTL 三态门的输出有三种状态:高电平、低电平和 状态。

8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 和 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 。 10. 输出n 位代码的二进制编码器,一般有 __________个输入信号端。 11.全加器是指能实现两个加数和____________三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。

13.与非门构成的基本RS 锁存器的特征方程是 S+ n

Q R ,约束条件是 。

14.时序逻辑电路中,按照触发器的状态是否同时发生变化可分为 和 。 15.JK 触发器当J =K =________时,触发器Q n+1=

Q n 。

16.用555定时器构成的多谐振荡器,若充放电回路中有电阻、电容,则该多谐振荡器形成的脉冲周期T ___0.7(R1+2R2)C ____。

17.A/D 转换需要经过 采样 、 保持 、 量化 和 编码 四个步骤。 18.根据D/A 转换器分辨率计算方法,4位D/A 转换器的分辨率为 6.7% 。 19.DAC 的转换精度包括 分辨率 和 转换误差 。

20.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率f i max 的关系是 。

21.在A/D 转换时,将一个时间上连续变化的模拟量转换为时间上离散的模拟量的过程称采样。

22.在A/D 转换中,用二进制码表示指定离散电平的过程称为 量化 。

23.CPLD 的含义是 。

二、选择题

1. 十进制数85转换为二进制数为( )

A .1001011

B .1010011

C .1100101

D .1010101 2. 二进制数11011转换为十进制数为( )

A .32

B .27

C .64

D .128 4. 8421BCD 码110011.001表示十进制为( )

A .33.2

B .51.0125

C .63.2

D .51.2

5.在下列一组数中,与2)111001(相等的数是( ) A .16)34( B .(65)8 C . 10)57(

6.下列数码均代表十进制数6,其中按余3码编码的是( ) A .0110; B . 1100; C .1001 7. “异或”逻辑与以下哪种逻辑是非的关系( ) A .“与”逻辑 B .“或”逻辑 C . “同或”逻辑 8. c b c b F +=1与c b bc F +=2两函数的关系为( ) A . 相同 B .对偶 C .反函数 9. n 个变量,有多少个最小项( )

A .2n

B .2n

C .n

***10. 利用三极管的截止状态和什么状态实现开关电路的断开和接通( C ) A .放大状态 B .击穿状态 C .饱和状态 D . 导通状态 **11. TTL 门电路是采用以下什么设计的门电路(A )

A .双极型三极管

B .单极型MOS 管

C .二极管

D .三态

14.逻辑电路的分析任务是()

A.给定功能,通过一定的步骤设计出电路B.研究电路的可靠性

C.研究电路如何提高速度D.给定电路,通过一定的步骤说明电路的功能

15.组合逻辑电路不含有()

A.记忆能力的器件B.门电路和触发器C.门电路D.运算器

16. 常用的一种3-8线译码器是()

A.74148 B.74138 C.7448 D.74151

17.74138是()

A.时序逻辑器件B.组合逻辑器件C.定时器件D.整形器件

18.共阳型七段数码管各段点亮需要( )

A.高电平B.接电源C.低电平D.接公共端

19. 由门电路组成的全加器是( )

A.时序逻辑器件B.组合逻辑器件C.脉冲逻辑器件D.以上答案都不正确

***20. TTL门电路的工作电源一般是(B )

A.25 v B.+5V C.3V—18V

22.输入100Hz脉冲信号,要获得10H Z的输出脉冲信号需要用多少进制计数器实现()

A.100进制B.10进制C.50进制D.5进制

23.时序逻辑电路设计的任务是()

A.给定功能,通过一定的步骤设计出时序电路B.研究电路的可靠性

C.研究电路如何提高速度D.给定电路,通过一定的步骤说明电路的功能

24.计数器是()

A.时序逻辑器件B.组合逻辑器件C.定时器件D.整形器件

***25.以下何种电路具有记忆能力(C )

A.门电路B.组合逻辑电路C.时序逻辑电路D.多谐振荡电路

26.时序逻辑电路一般可以分两类,即()

A.组合逻辑电路和时序逻辑电路B.门电路和触发器

C.同步型和异步型D.模拟电路和数字电路

28.时序逻辑电路通常由门电路和()组成。

A.存储电路B.寄存器C.译码器

29.利用定时器555可以设计实现()

A.全加器B.多谐振荡器C.寄存器D.译码器

三、判断题

***1.8421BCD码是二——十进制码。()

2.与逻辑是至少一个条件具备事件就发生的逻辑。( F )

3.L等于A和B的异或,其表达式是L=A+B。( F )

4.“同或”逻辑功能是两个输入变量A、B相同时,输出为1;A、B不同时,输出为0。( )

***6.三态与非门的三个输出状态分别是高电平、低电平和接地状态。()

7.OC门实现“线与”时必须要加上拉电阻。()

8.74LS是TTL低功耗肖特基系列产品。()

9.实现两个一位二进制相加产生和数及进位数的电路称为全加器。( F )

10.实现两个一位二进制数和低位进位数相加产生和数及进位数的电路称为半加器。( F )

11.译码器的输入端是特定的输入信号,输出端是二进制代码。( F )

13.基本RS触发器具有“不定”问题。( )

14.JK触发器有保持功能,但无翻转功能。(F )

15.逻辑器件74161是集成寄存器。(F )

16.计数器不能作为分频器。( F )

**17.对于TTL门电路来说,如果输入端悬空即代表输入低电平。(F )

18.ADC是将数字信号转换成模拟信号的转换电路。(F )

***19.集成D/A 转换器中,集成度是描述其性能参数的重要指标之一。(F ) 20.D/A 转换器的位数越多,转换精度越高。( )

***21.双积分型A/D 转换器的转换精度高、抗干扰能力强,因此常用于数字式仪表中。( )

22.某CD 音乐的频率范围是0.02~20.0KHz ,A/D 转换进行采样时,则采样频率可选择50.7KHz 。( )

四、分析、设计、化简题

(一)将下列逻辑函数化简成最简与或表达式。 (1)A D A ABD AB F +++=1

),,,,,,,1513875410(),,,(2m D C B A F ∑=

(2)C B AC C B A F +++=1

),,,,,,,151********(),,,(2m D C B A F ∑=

(二)SSI 逻辑电路的分析

1.分析组合逻辑电路图,写出F 的逻辑函数表达式。

当C=1时 ()()F A B A B AB AB =+⊕=+

当C=0时 F=高阻状态

2.分析下图,试写出F 的表达式,并说明逻辑电路的功能。

(三)译码器的应用

1.试用74LS138和门电路实现逻辑函数F = AB + AC + BC 译码器的示意图和功能表达式如下:选通时,

S 1=1,S 2=

S 3=0;输出低电平有效。

2.下图为3线―8线译码器74LS138的方框图。 图中三个允许端S 1=1、2S =3S =0时, 译码器才能正常译码;输入端的输入代码

顺序为A 2 A 1 A 0 ;输出端0Y ~7Y 输出低电平有效。 试用此二进制译码器和与非门实现函数

)(C B A ABC Y ++=,要求画出连线图。

(四)触发器的应用

1.触发器电路如下图所示,试根据图中CP 、A 的波形,对应画出输出端Q 的波形,并写出Q 的状态方程。设触发器的初始状态均为0。

2.触发器电路如下图所示,试根据图中CP 、D 的波形,对应画出输出端Q 的波形,并写出Q 的状态方程。设触发器的初始状态均为0。

(五)计数器的应用

A 2 A 1 A 0

76543210Y Y Y Y Y Y Y Y

74LS138 1S 2S 3S

1

J “C Q C

C

C 1

D A 2 A 1 A 0

76543210Y Y Y Y Y Y Y Y

74LS138 1S 2S 3S

1.已知74LS161是同步四位二进制加法计数器,计数器功能见下表,试用置数法构成七进制加法计数器,要求写出LD 的表达式;画出连线图。

2.已知74LS161是同步四位二进制加法计数器,其功能表如表所示。试分析图电路为几进制计数器,要求(1)写出LD 的表达式;(2)指出进制数;(3)画出状态转换图。

74LS161的功能表

********(六)DA 转换器的应用

十位的D/ A 电路如下图所示,当R f = 2R ,V REF = 5V ,若电路的输入数字量D 9 D 8 D 7 D 6D 5D 4D 3D 2D 1D 0时=0000110001,试求:输出电

压为多少?

练习题参考答案

一、填空题

1.27 2.8 3.循环 一 4.与或运算 0、1 原变量、反变量; 5.导通 截止; 6.饱和 截止; 7.高阻 8.OC 上拉电阻 电源 9.多

10.2n ; 11.(低位)进位信号; 12. 当前输入状态 输出的原始状态

74LS161的功能表

D D o

v MSB )()

LSB (

13.S+ n

Q R RS=0 14. 同步时序电路 异步时序电路 15.1;16.0.7(R1+2R2)C

17.采样 保持 量化 编码 18. 6.7% 19.分辨率、转换误差 20.f s ≥2f imax 21.采样 22.量化 23.复杂可编程逻辑器件 24.波形编辑器 25..gdf 26.被高层次电路设计调用 27.实体 28.STD 库 29.entity 30.Architecture 31.实体名32.B A AB +(同或)33.同或 二、选择题

1.D ; 2.B ; 4.A ;5. C ;6.C ; 7.C ; 8.C ; 9.A ; 10.C ;11.A ; 12.B ;13.B ;14.D ;15. A ;16. B ;17. B ;18. C ;19. B ;20. B ;21.B ;22.B ; 23.A ; 24.A ;25.C ; 26.C ; 27.C ; 28.A ; 29.B ;

三、判断题

1. √

2. ×

3.×

4.√

5.√

6. ×

7.√

8.√

9. × 10.× 11.× 12.× 13.√ 14.× 15.× 16.×17.× 18.×19.×20.×21.√22.√23.×24.×25.√

四、分析、设计、化简题

(一)将下列逻辑函数化简成最简与或表达式。 (1)D A F +=1 , D C B BD C A F ++=2 (2)C B F +=1 , D B BD F +=2 (二)SSI 逻辑电路的分析

1.当C=1时 ()()F A B A B AB AB =+⊕=+ 当C=0时 F=高阻状态

2.F 1 = B A F 2 = B A F 3 = AB B A + 真值表

输 入 输 出

A B F 1 F 2 F 3 0 0 0 0 1 0 1 1 0 0

1 0 0 1 0 1 1 0 0 1 此电路为一位数值比较器。 (三)MSI 组合逻辑电路的应用

1.F=AB+AC+BC= ABC+ABC+A BC+AB C

= m 3 +m 5+m 6+m 7 =

2.7321m m m m B A BC A C

B A AB

C Y =+++=

(四)触发器的应用

1. 2.

(五)计数器的应用 1.C B LD Q Q =,连线见图

2.A D LD Q Q =,此电路为十进制加法计数器。 状态转换图为:

0000 0001 0010 0011 0100 0101 0110 0111 1000 1001

A 2 A 1 A 0

76543210Y Y Y Y Y Y Y Y

74LS138 1S 2S 3S

A B

&

Q 0 Q 1 Q 2

Q 3

CR

1

1

C

Q

Q

C

Q

Q

Y A B C A 2 A 1 A 0 76543210Y Y Y Y Y Y Y Y "

74LS138 1S 2S 3S

(六)DA 转换器的应用

V

D U u n

REF

4785.0)222(2522

20

45100-=++?

-=?-=

数字电路试卷与答案

电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为( 001101) 2 , 问对应的8-bit的补码为( 00001101)2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C)只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

数字电路试卷标准答案

标准答案及评分标准 课程名称:数字电路 适用专业(班级): 课程归属:理工学科部 是否可携带(填写计算器、词典等):计算器 开卷、闭卷:闭卷 学科部主任: 出卷人: ―――――――――――――――――――――――――――――――――― 一.选择题(每小题2分,共20分) 1.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 2.若输入变量A 、B 全为1时,输出F=1,则其输入与输出的关系是 【 B 】 A.异或 B.同或 C.或非 D.与或 3.在下列逻辑电路中,不是组合逻辑电路的是 【 D 】 A. 译码器 B. 加法器 C. 编码器 D.寄存器 4.一个8选一的数据选择器,其地址输入(选择控制输入)端的个数是 【 C 】 A.4 B.2 C.3 D.16 5.最小项ABCD 的逻辑相邻最小项是 【 A 】 A. ABCD B. ABCD C. ABCD D. ABCD 6.同步计数器和异步计数器比较,同步计数器的最显著优点是 【 A 】 A .工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 8.组合逻辑电路通常由【 】组合而成。 【 B 】 A.触发器 B.门电路 C.计数器 D.锁存器 9.8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出0 12Y Y Y ??的值是 【 C 】 A.111 B.010 C.000 D.101 10.逻辑表达式A +B C = 【 C 】 A.A+B B. A+C C.(A+B )(A+C ) D.B+C

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

精选-数电试卷和答案

电子线路分析与实践2期末复习辅导 2010年10月 练习题 一、填空题 1.(11011)2 =(________)10 2.8421BCD 码的1000相当于十进制的数值 。 3.格雷码特点是任意两个相邻的代码中有_______位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的_________互换,_________互换,_________互换,就得到F 的反函数F 。 5.二极管的单向导电性是外加正向电压时 ,外加反向电压时 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 和 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 。 10. 输出n 位代码的二进制编码器,一般有 __________个输入信号端。 11.全加器是指能实现两个加数和____________三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 。 14.时序逻辑电路中,按照触发器的状态是否同时发生变化可分为 和 。 15.JK 触发器当J =K =________时,触发器Q n+1=Q n 。 16.用555定时器构成的多谐振荡器,若充放电回路中有电阻、电容,则该多谐振荡器形成的脉冲周期T ___0.7(R1+2R2)C ____。 17.A/D 转换需要经过 采样 、 保持 、 量化 和 编码 四个步骤。 18.根据D/A 转换器分辨率计算方法,4位D/A 转换器的分辨率为 6.7% 。 19.DAC 的转换精度包括 分辨率 和 转换误差 。 20.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率f i max 的关系是 。 21.在A/D 转换时,将一个时间上连续变化的模拟量转换为时间上离散的模拟量的过程称采样。 22.在A/D 转换中,用二进制码表示指定离散电平的过程称为 量化 。 23.CPLD 的含义是 。 二、选择题 1. 十进制数85转换为二进制数为( ) A .1001011 B .1010011 C .1100101 D .1010101 2. 二进制数11011转换为十进制数为( ) A .32 B .27 C .64 D .128 4. 8421BCD 码110011.001表示十进制为( ) A .33.2 B .51.0125 C .63.2 D .51.2 5.在下列一组数中,与2)111001(相等的数是( ) A .16)34( B .(65)8 C . 10 )57(

数字电路期末模拟考试试题及答案

数字电路期末模拟考试 试题及答案 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

数字电子电路模拟试题-2 一、填空题(共30分) 1. 三极管有NPN和PNP两种类型,当它工作在放大状态时,发射结___ _,集电结______;NPN型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2. 把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______ 逻辑赋值。一种电路若在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3. 四位二进制编码器有____个输入端;____个输出端。 4. 将十进制数287转换成二进制数是________;十六进制数是__ _____。 5. 根据触发器功能的不同,可将触发器分成四种,分别是____触发器、 6. 下图所示电路中, 7. Y 2 二、选择题(共 20分) 1. 当晶体三极管____时处于饱和状态。 A. 发射结和集电结均处于反向偏置 B. 发射结正向偏置,集电结反向偏置 C. 发射结和集电结均处于正向偏置

2. 在下列三个逻辑函数表达式中,____是最小项表达式。 A . B A B A )B ,A (Y += B. C B C B A BC A )C ,B ,A (Y ++= C. C AB ABC B C A C B A ) D ,C ,B ,A (Y +++??= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. BC A C B A C B B A Y 1+?++= 2. Y 2=Σm (0,1,8,9,10,11) 3. Y 3见如下卡诺图

(完整版)华南理工大学数字电子技术试卷(含答案)

诚信应考,考试作弊将带来严重后果! 华南理工大学期末考试 《数字电子技术》试卷A 注意事项:1. 考前请将密封线内填写清楚; 2. 所有答案请直接答在试卷上(或答题纸上); 3.考试形式: 闭卷; 。 题号一二三四总分 得分 评卷人 一. 单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案 10 题号 123456789 答案 1 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与 或表达式 3. 已知函数的反演式为,其 原函数为()。 A. B . C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的: (A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器

C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8 8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为I OL(max)=10mA,输出高电平时最大输 出电流为I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: _______________________________________________________________; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5.组合逻辑电路是指电路的输出仅由当前的_____________决定。 6.5个地址输入端译码器,其译码输出信号最多应有_____________个。 7.输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做_____________。8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8.基本RS触发器的约束条件是_____________。 三.电路分析题(36分) 1.图3-1(a)所示电路, 移位寄存器原来的数据是,数据从Di顺序输入到移位寄存器,试问: (1) 在图3-1(b)所示输入波形作用下,在T1到T2期间,输出端X、Y的波形? (2) 该电路的逻辑功能?(12分)

数电复习题(含答案)分解

数 电 复 习 题 选择题: 1.下列四个数中,与十进制数(163)10不相等的是( D ) A 、(A3)16 B 、(10100011)2 C 、(000101100011)8421BCD D 、(203)8 2.N 个变量可以构成多少个最小项( C ) A 、N B 、2N C 、2N D 、2N -1 3.下列功能不是二极管的常用功能的是( C ) A 、检波 B 、开关 C 、放大 D 、整流 4..将十进制数10)18(转换成八进制数是 ( B ) A 、20 B 、22 C 、21 D 、23 5.译码器的输入地址线为4根,那么输出线为多少根( C ) A 、8 B 、12 C 、16 D 、20 6.能把正弦信号转换成矩形脉冲信号的电路是(D ) A 、多谐振荡器 B 、D/A 转换器 C 、JK 触发器 D 、施密特触发器 7.三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( A ) A 、m2 B 、 m5 C 、m3 D 、 m7 8.用PROM 来实现组合逻辑电路,他的可编程阵列是( B ) A 、与阵列 B 、或阵列 C 、与阵列和或阵列都可以 D 、以上说法都不对 9.A/D 转换器中,转换速度最高的为( A )转换 A 、并联比较型 B 、逐次逼近型 C 、双积分型 D 、计数型 10.关于PAL 器件与或阵列说法正确的是 ( A ) A 、 只有与阵列可编程 B 、 都是可编程的 C 、 只有或阵列可编程 D 、 都是不可编程的 11. 当三态门输出高阻状态时,输出电阻为 ( A ) A 、无穷大 B 、约100欧姆 C 、无穷小 D 、约10欧姆 12为使采样输出信号不失真地代表输入模拟信号,采样频率 f s 和 输入模

数电往年考题

09年 5、电路如图1.2所示,TG 为CMOS 传输门,G 为TTL 与非门,则当C=0时 P= ;当C=1时P= 。 B & TG A C C Ω k 10P G 图1.2 6. 当TTL 门电路的输入端悬空时,应视为 (高电平,低电平,不定)。此时,如用万用表测量其输入端电压,读数约为 (0V ,1.4V ,3.6V )。 六、(4分)写出图6所示TTL 门电路构成的组合电路的输出表达式。 图6 七、(6分)写出图7所示电路的逻辑表达式,列出真值表,说明电路逻辑功能。 A B C 图7 八(8分)将图8(a)所示电路用其他器件实现,要求直接在图上画出连线。 (1) 改用3线/8线译码器74LS138和适当的门实现该逻辑电路,图8(b)为 74LS138符号图; (2) 改用8选一数据选择器实现,图8 (c )为8选一数据选择器逻辑符号。 P 图8(a )

图8(b ) 08年 4.函数式D C AB F ++=,写出其对偶式='F ()A B C D +。 5.由TTL 与非门组成的电路如图1-2所示。设与非门输出高电平U OH =3.6V ,低电平为U OL =0.3V ,电压表内阻为20k Ω/V 。当输入ABC =000,开关S 断开时,用万用表测出U 1= 1.4V ,U 2= 0.3V ;当输入ABC =101,开关S 闭合时,U 1= 0.3V ,U 2= 3.6V 。 A B C 图1-2 6.对CMOS 或非门电路,判断下面结论对错: (1)输入端悬空可能造成逻辑出错; (对) (2)输入端对地接大电阻(如510 k Ω)相当于接高电平1; (错) (3)输入端对地接小电阻(如510 Ω)相当于接低电平0; (对) 7.CMOS 电路如图1-3所示,TG 为CMOS 传输门,G 为TTL 与非门,则C=0, P= 0 ; G G G 1 2A

数电习题及答案

一、 时序逻辑电路与组合逻辑电路不同, 其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输 出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电路 两大类。 四、试分析图 T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程 和输出方程,画出电路的状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 1001 1 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程: 10Y Q Q = 状态图:功能:同步三进制计数器

五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D触发器,用状态000到100构成五进制计数器。 (1)状态转换图 (2)状态真值表 (3)求状态方程

(4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。 解:触发器的驱动方程 2 0010210 10 21 1 J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程

1 20 0 1 10 101 1 2 210 n n n Q Q Q Q Q Q Q Q Q Q Q Q + + + = =+ = ? ?? ? ? ?? 输出方程 2 Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 输出方程 状态方程 状态转换图如图 A7.3所示 01 J X Q =⊕01 K= 10 J X Q =⊕ 1 1 K= 10 () Z X Q Q =⊕? 1 0000010 () n Q J Q K Q X Q Q +=+=⊕ 1 1111101 () n Q J Q K Q X Q Q +=+=⊕?

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

数电试题及答案

通信071?5 班 20 08?20 09 学年第二学期 《数字电子技术基础》 课试卷试卷 类型:A ■卷 单项选择题(每小题2分,共24 分) 1、 8421BCD 码01101001.01110001转换为十进制数是: A : 78.16 B : 24.25 C : 2、 最简与或式的标准是: (c ) A:表达式中乘积项最多,且每个乘积项的变量个数最多 变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少 变量个数最多 3、 用逻辑函数卡诺图化简中,四个相邻项可合并为一项 A :消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同 D:消去4个表现形式不同的变量,保留相同变量 4、 已知真值表如表 1所示,则其逻辑表达式为: A: A ? B ? C B: AB + BC C: AB + BC D: ABC (A+B+C ) 5、 函数 F(A , A: F(A,B,C)= B: F(A,B,C)= C: F(A,B,C)= D: F(A,B,C)= B ,C)=AB+BC+AC 的最小项表达式为: E m E m E m E m (0, (3, (0, (2, 2, 5, 2, 4, 4) 6, 3, 6, 7) 4) 7) 6、 欲将一个移位寄存器中的二进制数乘以( A: 32 B : 10 7、 已知74LS138译码器的输入三个使能端( E 1=1, 是:(C ) A :::: (c 69.71 ,它能: 变量 32) 10需要 n 1 n = Q ,JK 触发器的J 、K 取值应是: B: J=0, K=1 (B ) B :集电极开路门 D : 54.56 B :表达式中乘积项最少,且每个乘积项的 D:表达式中乘积项最多,且每个乘积项的 (B ) (B ) ( C : _ E 2A =E 2B = 0 ) )个移位脉冲。 D : 6 _ _ A 2A 1A O =011,则输岀 丫厂?丫0 时,地址码 8、 要实现Q =Q A: J=0, K=0 9、 能够实现线与功能的是: A: TTL 与非门 10、 个四位串行数据,输入四位移位寄存器,时钟脉冲频率为 输岀。 A : 8ms B : 4ms 11、 表2所列真值表的逻辑功能所表示的逻辑器件是: A B C D (D ) C: J=1, K=0 D : J=1, K=1 C :三态逻辑门 1kHz ,经过 D : CMOS 逻辑门 B )可转换为4位并行数据 译码器 选择器 优先 编码器 比 较器 输入 I 7 I 6 I 5 I 4 I 3 I 2 I 1 12、 A: B: C: D: 图1所示为2个4位二进制数相加的串 11000 11001 10111 10101 接全力X 器逻辑电路图X 运算后 的 0 0 0 0 0 0 0 1 0 0 0 0 0 X 1 0 0 图 31 0 0 (A )

数电期末试卷及答案(共4套)

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、 ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1:

数电考试试卷

四、(10分)如下图所示为由维持—阻塞边沿D 触发器和主从型J-K 触发器组成的电路。试画出触发器输出端Q 1、Q 2的波形(设触发器初始状态均为0)。 四、(10分) )(Q Q Q Q Q K Q J Q )C (Q D Q 12n 12n 12n 2n 12n 2n 11n ↓=+=+=↑==++CP Q P n n n 五、(15分)如下面左图所示为由八选一数据选择器实现的函数F 。 (1)试写出F 的表达式。 (2)用右边的3-8译码器74LS138及若干个与非门实现函数F 。 五、(15分) )4,3,1,0(),,(11m D B A F D B A BD A D B A D B A D A D B D B A BD A B A D C B A D C B A BCD A D C B A C B A C B A F ∑=+++=+=++=++++?+?=即 表达式4分 3分 3分 表达式7分 逻辑图8分

二、化简逻辑函数(5分) Z F A B C D =(,,,)=m d (,,,,,,)(,)3589111314 015+∑∑。 二、卡诺图如下图所示,Z ABC BCD BCD ABC =+++. (5分) 三、用四位同步二进制计数器CT74161、3线-8线译码器CT74138和少量的与非门设计一个函数发生器,使其产生10110101序列信号。(10分) 三、(共10分) 1、因序列长度S=8,可用CT74161设计一个模8计数器,有效状态为Q D Q C Q B Q A =0000~0111。如采用同步预置法,电路如下图(a)所示,如采用反馈清零(异步)法,电路如图(b)所示。(2分) 3、产生10110101序列码的电路如下所示:(5分) 7 5320Y Y Y Y Y ????=2、用译码器CT74138实现组合输出电路,列真值表如左所示: 故得到组合输出为:(3分) Z= ∑m (0,2,3,5,7)=Y 0+Y 2+Y 3+Y 5+Y 7

(完整版)数电模拟考试题

一、选择题、 1.逻辑函数中A.B.C三个变量中,最小应有个。 A.2 B.4 C.8 D.16 2.当逻辑函数有n个变量时,共有个变量取值组合? A.n B.2n C.n2 D.2n 3.一个8选一数据选择器的数据输入端由个。 A.1 B.2 C.3 D.8 4.对于JK触发器,若J=K,则可完成触发器的逻辑功能: A.RS B.D C.T D.T’ 5.一位8421BCD码计时器至少需要个触发器。 A.3 B.4 C.5 D.10 二判断题、 1.数字电路中用“1”和“0”表示两种状态,二者无大小之分() 2.若两个函数具有相同的真值表,则两个逻辑函数必然相等。() 3.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 4.组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。() 5.对边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次() 三、填空题 1.数/模转换器是将进制数字量转换成信号输出。 2.逻辑函数的常用表示方法、、。 3.对于共阳接法的发光二极管数码显示器,应采用驱动的七段显示译码器。4.制度存储器是用来存放固定不变的二进制数码,在正常工作时,只能存储代码,而不能存储代码,当时去电源后,其信息代码不会。 5.将模拟信号转换为数字信号,需要经过、、、四个过程。 四、用代数法化简函数 Z=AB+ABC 五、用卡诺图法化简下式。 {F(A、B、C、D)=∑m(0、1、3、5、8、9)} (约束条件)AB+AC=0 Y=AC+ABC+A BC

七、试用与非门设计一个三人表决电路(输入只提供原变量) 八、分析时序电路的逻辑功能,写出电路的曲弓方程和输出方程,画出状态转换图和时序图。 九、如下图所示维持阻塞D触发器,设初态为0,根据CP脉冲及A输入波形画出Q波形。 十、试分析下图所示电路画出它的状态图,说明它是几进制计数器

数电试题及答案

数电试题及答案

通信071~5 班20 08 ~20 09 学年第二学期《数字电子技术基础》课试卷试卷类型: A 卷 题号一二三四五六七八九 总 成 绩 得 分 一、单项选择题(每小题2分,共24分) 1、8421BCD码01101001.01110001转换为十进制数是:() A:78.16 B:24.25 C:69.71 D:54.56 2、最简与或式的标准是:() A:表达式中乘积项最多,且每个乘积项的变量个数最多B:表达式中乘积项最少,且每个乘积项的变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少D:表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:()

A:消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C:消去3个表现形式不同的变量,保留相同变量表1 D:消去4个表现形式不同的变量,保留相同变量

4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕ B ⊕ C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要 ( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011, A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1

数字电路试卷-答案

C. 000 D. 1 0 1 A. A+B B. A+C C. (A+B ) (A+C ) D. B+C 标准答案及评分标准 适用专业(班级): 是否可携带(填写计算器、词典等):计算器 学科部主任: 一 ?选择题(每小题2分,共20分) 1?一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【C 】 A. 4:6 B.1:10 C.4:10 D.2:4 2 ?若输入变量 A 、B 全为1时,输出F=1,则其输入与输出的关系是 【B 】 A.异或 B. 同或 C. 或非 D. 与或 3?在下列逻辑电路中,不是组合逻辑电路的是 【D 】 A.译码器 B. 加法器 C. 编码器 D. 寄存器 6 ?同步计数器和异步计数器比较,同步计数器的最显著优点是 A 工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7?—位8421BCD 码译码器的数据输入线与译码输出线的组合是 A. 4:6 B.1:10 C.4:10 D.2:4 8 ?组合逻辑电路通常由【 】组合而成。 A.触发器 B.门电路 C.计数器 D.锁存器 A. 1 11 B. 010 10.逻辑表达式 A+BC= 课程名称:数字电路 课程归属:理工学科部 开卷、闭卷:闭卷 出卷人: 4 . 一个8选一的数据选择器,其地址输入 (选择控制输入)端的个数是 A. 4 B. 2 C. 3 5?最小项ABCD 的逻辑相邻最小项是 D. 1 6 【A 】 A. ABCD B . ABCD C. ABCD D. ABCD 9.8线一3线优先编码器的输入为 I0 —17,当优先级别最高的 I7有效时,其输出 的值是 【C 】

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数电试题及答案

通信 071~5 班 20 08 ~20 09 学年第二学 期《数字电子技术基础》课试卷试卷类型: A 卷 一、单项选择题(每小题2分,共24分) 1、8421码01101001.01110001转换为十进制数是:( c ) A:78.16 B:24.25 C:69.71 D:54.56 2、最简与或式的标准是:( c ) A:表达式中乘积项最多,且每个乘积项的变量个数最多 B:表达式中乘积项最少,且每个乘积项的变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少 D:表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能: (B ) A:消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C:消去3个表现形式不同的变量,保留相同变量 表1 D:消去4个表现形式不同的变量,保留相同变量

4、已知真值表如表1所示,则其逻辑表达式为:( A ) A B : + C : + D :() 5、函数F(A ,B ,C)的最小项表达式为: ( B ) A :F()=∑m (0,2,4)B :F()=∑m (3,5,6,7) C :F()=∑m (0,2,3,4) D :F()=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( C )个移位脉冲。 A :32 B :C : 5 D : 6 7、已知74138译码器的输入三个使能端 (E 1=1,E 220)时,地址码A 2A 1A 0=011则输出Y 7 ~Y 0是:( C ) A :11111101 B : 10111111 C :11110111 D : 8、要实现n 1n Q Q =+,触发器的J 、K 取值应是:(D ) A :0,0 B :0,1 C :1,0 D :1,1 9、能够实现线与功能的是:( B ) A : 与非门 B :集电极开路门 C :三态逻辑门 D : 逻辑门

数电试卷及答案

数字电子技术试卷A 卷答案 试卷号:45 学校:哈尔滨理工大学 院系: 自动化 专业:自动化 年级:03 班级: 1~11 一. 单项选择题(本大题共 20 小题,总计 40 分 ) 1、本小题2分 (b) 2、本小题2分 (a) 3、本小题2分 (b) 4、本小题2分 (c) 5、本小题2分 (a) 6、本小题2分 (a) 7、本小题2分 (d) 8、本小题2分 (c) 9、本小题2分 (b) 10、本小题2分 (c) 11、本小题2分 (c) 12、本小题2分 (c) 13、本小题2分 (a) 14、本小题2分 ( b ) 15、本小题2分 ( a ) 16、本小题2分 ( b ) 17、本小题2分 ( b ) 18、本小题2分 ( c ) 19、本小题2分 ( a ) 20、本小题2分 ( b ) 二、非客观题(6分) Ωk mI nI V V R IH OH OH CC L 502.031.032 .35(max) (max)(min) (max)=?+?-=+-= (3分) Ωk mI I V V R IL OL OL CC L 676.04.0384 .05 (max)(max)(max)(min)=?--= --= (3分) 上拉电阻的取值范围是ΩΩk R k L 676.05≥≥ 三、非客观题(8分) A B C A CB A B C A B C A B C A B C m m m m m Y +=++++=++++=6 42101 (4分) CA BA A B C CBA A B C BA C A B C m m m m Y ++=+++=+++=7 5302 ( 4分)

数电考试试题(A卷)

华中农业大学考试试题(A 卷) 2004 -2005 学年第 2 学期 开课学院 课程 数字电子技术基础 学时 80 考试日期 2005-7-13 考试时间 小时 考试形式(闭 开)(B A )卷 考生班级 学 号 姓 名 一.填空题(20分): 1. 一个晶体三极管,如果发射结为反偏,集电结也是反偏,则其工作在______截止_____状态; 如果其发射结为正偏,集电结也是正偏,则其工作在______饱和_______状态。 2.一个工作在饱和状态的晶体三极管,如果固定集电极电流,减小基极电流,其饱和的程度___ ___减小______;如果固定基极电流,减小集电极电流,其饱和的程度_______加深______. 3.逻辑代数有三种基本运算,其中之一是 与 运算,这种运算的输入 低 电平, 有优先决定输出为 低 电平的能力;还有一种是 或 运算,这种运算的输入 高 电平,有优先决定输出为 高 电平的能力。 4.如果变量个数相同,则因子相同的最大项与最小项是______对偶________关系;序号相同的最大项与最小项是_________互补_________关系。 5.TTL 逻辑门电路的输入端对地接有电阻时,如果电阻的数值小于600Ω,相当于输入 ______低________电平;如果电阻的数值大于5K Ω时,相当于输入____高_____电平。 注:1. 命题纸上一般不留答题位置,试题请用小四、宋体打印且不出框。 2. 命题教师和审题教师姓名应在试卷存档时填写。 (A 卷) 共10页 第1页 成绩

6.一个二进制编码器若需要对12个输入信号进行编码,则要采用_____4_____位二进制代码。7.模-数转换通常可分为__采样_____,____保持_____,____量化______,____编码___四个过程进行.如果要将一个最大幅度为5.1V的模拟信号转换为数字信号,要求模拟信号每变化20mV能使数字信号最低有效位发生变化(即最低有效位为1时代表的模拟电压值),所用的A/D转换器至少需要____8_____位. 二.(18分)按要求完成下列各题 1.用代数法证明等式:()Z ? + + XY⊕ = X XY Y YZ XZ 2,试用卡诺图法将下列函数化简为最简与或式 ()D + B + A F+ , C , =, = C B D A B C D A D A B 约束条件:0 = AB +AC 教务处印制(A卷)共10页第2页 华中农业大学命题专用纸(A卷)

相关文档
最新文档