基于FPGA的数字钟设计

基于FPGA的数字钟设计
基于FPGA的数字钟设计

基于FPGA的多功能数字钟

一、设计题目

基于Xilinx FPGA的多功能数字钟设计

二、设计目的

1.掌握可编程逻辑器件的应用开发技术

——设计输入、编译、仿真和器件编程;

2.熟悉一种EDA软件使用;

3.掌握Verilog设计方法;

4.掌握分模块分层次的设计方法;

5.用Verilog完成一个多功能数字钟设计;

6.学会FPGA的仿真。

三、设计内容

设计实验项目九多功能电子钟

?功能要求:

利用实验板设计实现一个能显示时分秒的多功能电子钟,具体要求为:

基本功能:

1)准确计时,以数字形式显示时、分、秒,可通过按键选择指示当前显示时间范围模

式;

2)计时时间范围 00:00:00-23:59:59

3)可实现校正时间功能;

4)可通过实现时钟复位功能:00:00:00

扩展功能:

1)定时报:时间自定(不要求改变),闹1分钟(1kHz)---利用实验板LED或外接电路

实现。

2)仿广播电台正点报时:XX:59:[51,53,55,57(500Hz);59(1kHz)] ---利用实验板LED

或外接电路实现。

3)报整点时数:XX:00:[00.5-XX.5](1kHz),自动、手动---利用实验板LED或外接电

路实现。

4)手动输入校时;

5)手动输入定时闹钟;

6)万年历;

7)其他扩展功能;

?设计步骤与要求:

1)计算并说明采用Basys2实验板时钟50MHz实现系统功能的基本原理。

2)在Xilinx ISE13.1软件中,利用层次化方法,设计实现模一百计数及显示的电路

系统,设计模块间的连接调用关系,编写并输入所设计的源程序文件。

3)对源程序进行编译及仿真分析(注意合理设置,以便能够在验证逻辑的基础上尽快

得出仿真结果)。

4)输入管脚约束文件,对设计项目进行编译与逻辑综合,生成下载所需.bit类型文

件。

5)在Basys2实验板上下载所生成的.bit文件,观察验证所设计的电路功能。

四、总体设计思路

主体分为分频模块,正常时间模块(包含两个模60计数器和一个模24计数器子模块),闹钟模块(分为一个模60计数器模块,一个模24计数器模块,四个比较器模块),电台报时模块,数码管显示模块(分为模式选择模块,片选信号及扫描程序模块,和译码模块)。将各模块连接好各接线口即得到数字钟顶层模块complete.sch。

五、各模块设计及源代码

1.分频模块

分频模块要将50MHz的时钟信号分成三个分别为1Hz,500Hz,1kHz的三个脉冲信号,设置三个计数器,在三个频率信号分别对应的延时时间进行翻转,就可以得到三个不同的脉冲信号。源代码如下:

//freq.v

module freq(clk,_1Hz,_500Hz,_1kHz);

input clk;

output _1Hz,_500Hz,_1kHz;

reg _1Hz=0,_500Hz=0,_1kHz=0;

reg [24:0] cnt1=0,cnt2=0,cnt3=0;

always @(posedge clk)

begin

if (cnt1<25'd2*******)

//if (cnt1<25'd249)

//做test仿真时让变化更快

cnt1<=cnt1+1;//未达到计数时间计数器加一

else

begin

_1Hz<=~_1Hz;//达到计时时间,信号翻转且计数器归零

cnt1<=0;

end

end

always @(posedge clk)

begin

if (cnt2<25'd49999)

//if(cnt2<25'd49)

cnt2<=cnt2+1;

else

begin

_500Hz<=~_500Hz;

cnt2<=0;

end

end

always @(posedge clk)

begin

if (cnt3<25'd24999)

//if (cnt3<25'd25)

cnt3<=cnt3+1;

else

begin

_1kHz<=~_1kHz;

cnt3<=0;

end

end

endmodule

2.时钟正常显示模块

正常显示模块分为时分秒三个子模块,分别对应一个模24计数器和两个模60计数器。模24计数器和模60计数器设计都BCD码来表示时分秒的值,每个分为高位和低位,均对应一个十进制的数。对模24计数器,每个脉冲信号来临时当低位小于9时加一;等于9时高位加一且低位置零;且高位小于3,低位小于9,超过时高低位均置零;当高位等于2时,低位只能到3,同时复位nCR低电平时高低位均置零。同理可得模60计数器的设计。根据这个思路,得到模24计数器源代码如下:

//counter24.v

module counter24(CntH,CntL,nCR,EN,CP);

input nCR,EN,CP;

output [3:0] CntH,CntL;//分别为高位和低位

reg [3:0] CntH,CntL;

always @(posedge CP or negedge nCR)

begin

if (~nCR) {CntH,CntL}<=8'h00;//复位键清零

else if(~EN) {CntH,CntL}<={CntH,CntL};//使能信号有效则暂停else if((CntH>2)||(CntL>9)||((CntH==2)&&(CntL>=3))) {CntH,CntL}<=8'b00;//出现计数错误全部清零

else if((CntH==2)&&(CntL<3))

CntL<=CntL+1;//超过20时计数方式

else if(CntL==9)

begin CntH<=CntH+1'b1;

CntL<=4'b0 ;//一般情况下计数方式

end

else

CntL<=CntL+1'b1;

end

模60计数器代码如下:

//counterM60.v

module counterM60(CntMH,CntML,nCR,EN,CP);

input CP,EN,nCR;

output [3:0] CntMH,CntML;

reg [3:0] CntMH=0,CntML=0;

always @(posedge CP or negedge nCR)

begin

if(~nCR)

{CntMH,CntML}<=8'b00;

else if (~EN)

{CntMH,CntML}<={CntMH,CntML};

else if(CntML>9||CntMH>5||(CntMH==5&&CntML==9)) begin

{CntMH,CntML}<=8'h00;

end

else if(CntMH<5&&CntML==9)

begin

CntMH<=CntMH+1'b1;

CntML<=4'b0;

end

else

CntML<=CntML+1'b1;

end

endmodule

而时钟计时就是调用两个模60计数器和一个模24计数器,但相应的CP信号是需要修改的使得秒的60计数一周期可以使分加一,同时分钟跑一个周期能使得时针加一。同时按照设计要求需要加入按键调时功能,将两个按键AdjHr和AdjMin分别作为调时针和分针的按键,按下时时针和分钟的脉冲信号变为1Hz脉冲,由此时针分钟会以1Hz的频率改变而达到调时间的目的。设置分钟和时钟的驱动信号分别为MinCP,HrCP,则可以设置

MinCP=AdjMin?_1Hz:(Second==8'h59); HrCP=AdjHr?_1Hz:({Minute,Second}==16'h5959);

源代码如下:

//Top_clock.v

module top_clock(Hour,Minute,Second,_1Hz,nCR,AdjMin,AdjHr);

input _1Hz,nCR,AdjMin,AdjHr;

output [7:0] Hour,Minute,Second;//分别为时分秒输出

wire [7:0] Hour,Minute,Second;

supply1 Vdd;//设置使能

wire MinCP,HrCP;//定义激励信号

counterM60 UT1(Second[7:4],Second[3:0],nCR,Vdd,_1Hz);

counterM60 UT2(Minute[7:4],Minute[3:0],nCR,Vdd,~MinCP);

counter24 UT3(Hour[7:4],Hour[3:0],nCR,Vdd,~HrCP);

assign MinCP=AdjMin?_1Hz:(Second==8'h59);//分钟激励

assign HrCP=AdjHr?_1Hz:({Minute,Second}==16'h5959);//时钟激励

endmodule

3.仿电台报时模块

设置ALARM为报时输出,Minute和Second分别为分钟信号和秒钟信号,先用if-else语句来进行Minute是否为59的判断,之后再用case语句在Second为51,53,55,57时输出500Hz 的信号,在59时输出为1kHz的信号,在板子上没有输出声音的设备,就接入LED来验证是否正常报时。

//radio.v

module radio(ALARM,Minute,Second,_1kHz,_500Hz);

input _1kHz,_500Hz;

input [7:0] Minute,Second;

output ALARM;

reg ALARM;

always @(Minute or Second)

if(Minute==8'h59)

case(Second)

8'h51,

8'h53,

8'h55,

8'h57:ALARM=_500Hz;//500Hz方式输出,报时

8'h59:ALARM=_1kHz;//59s以1kHz输出

default:ALARM=1'b0;//一般不输出

endcase

else ALARM=1'b0;

endmodule

4.闹钟模块

闹钟模块分为设定闹钟(包含两个按键),闹钟正常响铃,一个关闭闹钟的按键CtrRing。设定闹钟同样可以以1Hz脉冲为激励信号,其主体电路为一个以1Hz为激励信号的受SetMinkey控制的模60计数器和一个受SetHrkey控制的模24计数器,调用之前已经写好的模块即可。同时响铃设置为ALARM_clock,受按键CtrRing控制。设置四个比较器,分别为小时高位比较,小时低位比较,分钟高位比较和分钟低位比较。当四个比较信号都为1时才会闹钟响铃。

比较器的设计很简单直接给出源代码:

//comparator.v

module comparator(EQU,A,B);

input [3:0] A,B;

output EQU;

assign EQU=(A==B);

endmodule

而闹钟主体源代码如下:

//ring.v

module

ring(ALARM_clock,Set_Hr,Set_Min,Hour,Minute,Second,SetHrkey,SetMinkey,_1kHz,_500Hz,_1Hz, CtrRing );

output ALARM_clock;

output [7:0] Set_Hr,Set_Min;//输出的闹钟设定时间

wire ALARM_clock;

wire [7:0] Set_Hr,Set_Min;

input _1kHz,_500Hz,_1Hz;

input [7:0] Hour,Minute,Second;

input SetHrkey,SetMinkey,CtrRing;//闹钟设定按键和关闭闹钟按键

supply1 Vdd;//设置高电平

wire HrH_Cop,MinH_Cop,HrL_Cop,MinL_Cop;//中间变量,为设定闹钟时间和正常时间比较wire time_EQU;

counterM60 SU1(Set_Min[7:4],Set_Min[3:0],Vdd,SetMinkey,_1Hz);//调用模60计数器模块counter24 SU2(Set_Hr[7:4],Set_Hr[3:0],Vdd,SetHrkey,_1Hz);

comparator SU4(HrH_Cop,Set_Hr[7:4],Hour[7:4]);//调用比较器模块

comparator SU5(HrL_Cop,Set_Hr[3:0],Hour[3:0]);

comparator SU6(MinH_Cop,Set_Min[7:4],Minute[7:4]);

comparator SU7(MinL_Cop,Set_Min[3:0],Minute[3:0]);

assign time_EQU=(HrH_Cop&&HrL_Cop&&MinH_Cop&&MinL_Cop);//时间比较信号

assign

ALARM_clock=CtrRing?(time_EQU&&(((Second[0]==1'b1)&&_500Hz)||((Second[0]==1'b0)&&_1k Hz))):1'b0;//设置闹铃输出

endmodule

5.数码管显示模块

之前的模块设置了两个音频信号输出ALARM和ALARM_clock,这里可以统一起来。数码管既要显示闹钟设定时间,也要显示时钟正常显示时间。设置一个按键mode来切换显示模式,为0时显示正常时间,为1时显示闹钟定时时间。设置LED_Hr和LED_Min为得到的当前显示的时和分。还有由LED_Hr和LED_Min到数码管的显示需要设计扫描程序,分为片选和译码模块。分别贴出源代码如下:

模式选择模块://mode.v

module

mode(mode,Hour,Minute,Second,Set_Hr,Set_Min,LED_Hr,LED_Min,ALARM,ALARM_clock,ALARM out);

input mode,ALARM,ALARM_clock;

input [7:0] Hour,Minute,Second,Set_Hr,Set_Min;

output [7:0] LED_Hr,LED_Min;

output ALARMout;

wire ALARM,ALARM_clock;

wire [7:0] Set_Hr,Set_Min;

assign ALARMout=ALARM||ALARM_clock;//时钟响铃,闹钟和电台报时均有效

assign LED_Hr=mode?Set_Hr:Hour;//选择当前显示的小时

assign LED_Min=mode?Set_Min:Minute;//选择当前显示的分

endmodule

之后是译码模块

在配置引脚时将小数点DP配置为最高位,其余G,F,E,D,C,B,A按从高到低的顺序配置,低电平段码管亮,由此可以写出对应段码,源代码如下:

//translate.v

module translate(Seg_in,Seg_outH,Seg_outL);

input[7:0] Seg_in;//输入的数码

output [7:0] Seg_outH;//翻译后的高位段码

output [7:0] Seg_outL;//翻译后的低位段码

wire [7:0] Seg_outH,Seg_outL;

reg [7:0] Seg_outH1,Seg_outL1;

always @ (Seg_in[7:4])//高位译码

case (Seg_in[7:4])

4'b0000 : Seg_outH1=8'b11000000;//0的段码

4'b0001 : Seg_outH1=8'b11111001;//1

4'b0010 : Seg_outH1=8'b10100100;//2

4'b0011 : Seg_outH1=8'b10110000;/3

4'b0100 : Seg_outH1=8'b10011001;//4

4'b0101 : Seg_outH1=8'b10010010;//5

4'b0110 : Seg_outH1=8'b10000010;//6

4'b0111 : Seg_outH1=8'b11111000;//7

4'b1000 : Seg_outH1=8'b10000000;//8

4'b1001 : Seg_outH1=8'b10010000;//9

default: Seg_outH1=8'b10100011;//出错显示

endcase

always @ (Seg_in[3:0])//低位译码

case (Seg_in[3:0])

4'b0000 : Seg_outL1=8'b11000000;

4'b0001 : Seg_outL1=8'b11111001;

4'b0010 : Seg_outL1=8'b10100100;

4'b0011 : Seg_outL1=8'b10110000;

4'b0100 : Seg_outL1=8'b10011001;

4'b0101 : Seg_outL1=8'b10010010;

4'b0110 : Seg_outL1=8'b10000010;

4'b0111 : Seg_outL1=8'b11111000;

4'b1000 : Seg_outL1=8'b10000000;

4'b1001 : Seg_outL1=8'b10010000;

default: Seg_outL1=8'b10100011;

endcase

assign Seg_outH=Seg_outH1;

assign Seg_outL=Seg_outL1;

endmodule

之后是数码管片选信号的设置和扫描程序,以500Hz为扫描频率。代码如下://trans.v

module trans(_500Hz,LED_Hr,LED_Min,SGG,SELE);

input [7:0] LED_Hr,LED_Min;

input _500Hz;

output [7:0] SGG;

output [3:0] SELE;

wire [7:0] SGML,SGMH,SGHL,SGHH;//经过翻译的段码

reg [1:0] count=2'b00;//扫描的计数控制

wire [3:0] SELE;//片选信号

wire [7:0] SGG;//输入数码管的输出信号

reg [7:0] SG;//数码管段码中间变量

reg [3:0] SEL=1110;//片选中间变量

translate ST1(LED_Hr,SGHH,SGHL);

translate ST2(LED_Min,SGMH,SGML);

always @(posedge _500Hz)

case(count)

2'b00:

begin SG=SGML;//选中第一个数码管

SEL=4'b1110;

count=count+1'b1;//扫描信号不断加一,从而实现扫描

end

2'b01:begin SG=SGMH;//选中第二个管

SEL=4'b1101;

count=count+1'b1;

end

2'b10:begin SG=SGHL;//选中第三个管

SEL=4'b1011;

count=count+1'b1;

end

2'b11:begin SG=SGHH;//选中第四个管

SEL=4'b0111;

count=count+1'b1;

end

endcase

assign SELE=SEL;//设置片选信号

assign SGG=SG;//设置数码管显示信号

endmodule

6、多功能数字钟顶层模块设计

采用图形设计方法,将以上已经写好的各模块都选择create schematic symbol封装成各个器件。名字设置为complete.sch,在这个文件里将各个器件拖出来进行各引脚连线和标记输入

输出端口。由于图太小截图看不清楚,只能先给个大概如下:

其生成的vf文件如下:

//complete.vf

module complete(XLXN_10,

XLXN_15,

XLXN_16,

XLXN_17,

XLXN_19,

XLXN_20,

XLXN_21,

XLXN_33,

XLXN_32,

XLXN_39,

XLXN_40);

input XLXN_10;

input XLXN_15;

input XLXN_16;

input XLXN_17;

input XLXN_19;

input XLXN_20;

input XLXN_21;

input XLXN_33;

output XLXN_32;

output [7:0] XLXN_39;

output [3:0] XLXN_40;

wire XLXN_4;

wire XLXN_5;

wire [7:0] XLXN_6;

wire [7:0] XLXN_7;

wire [7:0] XLXN_8;

wire XLXN_11;

wire [7:0] XLXN_13;

wire [7:0] XLXN_14;

wire XLXN_22;

wire XLXN_24;

wire [7:0] XLXN_37;

wire [7:0] XLXN_38;

freq XLXI_1 (.clk(XLXN_10),

._1Hz(XLXN_24),

._1kHz(XLXN_4),

._500Hz(XLXN_5)); radio XLXI_5 (.Minute(XLXN_7[7:0]),

.Second(XLXN_8[7:0]),

._1kHz(XLXN_4),

._500Hz(XLXN_5),

.ALARM(XLXN_11)); ring XLXI_6 (.CtrRing(XLXN_21),

.Hour(XLXN_6[7:0]),

.Minute(XLXN_7[7:0]),

.Second(XLXN_8[7:0]),

.SetHrkey(XLXN_19),

.SetMinkey(XLXN_20),

._1Hz(XLXN_24),

._1kHz(XLXN_4),

._500Hz(XLXN_5),

.ALARM_clock(XLXN_22),

.Set_Hr(XLXN_13[7:0]),

.Set_Min(XLXN_14[7:0])); top_clock XLXI_7 (.AdjHr(XLXN_16),

.AdjMin(XLXN_15),

.nCR(XLXN_17),

._1Hz(XLXN_24),

.Hour(XLXN_6[7:0]),

.Minute(XLXN_7[7:0]),

.Second(XLXN_8[7:0]));

mode XLXI_8 (.ALARM(XLXN_11),

.ALARM_clock(XLXN_22),

.Hour(XLXN_6[7:0]),

.Minute(XLXN_7[7:0]),

.mode(XLXN_33),

.Second(XLXN_8[7:0]),

.Set_Hr(XLXN_13[7:0]),

.Set_Min(XLXN_14[7:0]),

.ALARMout(XLXN_32),

.LED_Hr(XLXN_37[7:0]),

.LED_Min(XLXN_38[7:0]));

trans XLXI_9 (.LED_Hr(XLXN_37[7:0]),

.LED_Min(XLXN_38[7:0]),

._500Hz(XLXN_5),

.SELE(XLXN_40[3:0]),

.SGG(XLXN_39[7:0]));

Endmodule

7.引脚配置

拨码开关SW0对应mode(高电平为闹钟时间,低电平为正常时间),SW1对应SetHrkey(高电平有效),SW2对应SeyMinkey(高电平有效),SW3对应CtrRing(高电平有效),SW5对应AdjMin(高电平有效),SW6对应AdjHr(高电平有效),SW7对应nCR(高电平有效)。ALARM_out对应LED0。

得到的代码如下:

#Created by Constraints Editor (xc3s100e-cp132-4) - 2014/12/03

NET "XLXN_10" TNM_NET = "XLXN_10";

TIMESPEC TS_XLXN_10 = PERIOD "XLXN_10" 20 ns HIGH 50 %;

NET "XLXN_10" LOC = B8;

NET "XLXN_10" IOSTANDARD = LVCMOS25;

NET "XLXN_15" IOSTANDARD = LVCMOS25;

NET "XLXN_32" LOC = M5;

NET "XLXN_33" LOC = P11;

NET "XLXN_19" LOC = L3;

NET "XLXN_20" LOC = K3;

NET "XLXN_21" LOC = B4;

NET "XLXN_17" LOC = N3;

NET "XLXN_15" LOC = F3;

NET "XLXN_16" LOC = E2;

NET "XLXN_39[7]" LOC = P6;

NET "XLXN_39[0]" LOC = L14;

NET "XLXN_39[1]" LOC = H12;

NET "XLXN_39[2]" LOC = N14;

NET "XLXN_39[3]" LOC = N11;

NET "XLXN_39[4]" LOC = P12;

NET "XLXN_39[5]" LOC = L13;

NET "XLXN_39[6]" LOC = M12;

NET "XLXN_40[0]" LOC = F12;

NET "XLXN_40[1]" LOC = J12;

NET "XLXN_40[2]" LOC = M13;

NET "XLXN_40[3]" LOC = K14;

六、各模块功能仿真

1.分频模块仿真

将testbench的cnt1,cnt2,cnt3分别改为249,49,24以使得更快看到仿真结果得到结果如下:

可见三个信号按照设置的分频是功能正常的。

2.时钟模块仿真

从图中看出秒分都是跑到59之后再归零,跳变正常。而时是跑到23再归零的。功能正常。

3.数码管显示仿真

从图中看出,SELE按照预定方式跳变,与译码表对照,可知相应段码是正确的。该模块功能也是正常的。

4.闹钟模块仿真

从中看出当SetMinkey有效时Set_Min信号随着_1Hz在跳变。是正确的。

5.电台报时模块仿真

为了更加方便地看出功能仿真结果,在testbench设置初始参数为_1kHz=1'b1;

_500Hz=1'b1;Minute=8'h59;Second=8'h50;得到如下仿真波形图:

从上图看出,该模块在Minute=59,和Second=5153,55,59时会有ALARM的高电平输出,即该模块功能正常。

其余模块为均非时序性的子功能模块(如模60计数器)在各自的顶层模块已经可以验证其正确性,故此处不再单独仿真。

将这些都做完后将程序在FPGA实验板中进行实际验证,通过了验收,实现了目标的功能,可以设置闹钟,电台报时以及正常的时间显示。

七、实验小结

在完成这个实验后我也从对FPGA只有一点点常识进步到能够很清晰地明白一个程序的完整设计流程了,在整个过程中是很累的,一开始总是出各种错误,很多都不明白是什么意思,只能一点点查找错误原因,但将错误解决后也对verilog HDL程序理解加深了一层。在不断调试过程中,我也比以前更加明白了错误该怎样检查怎样排除。我现在觉得Xilinx ISE这个软件做的不够好,在win8运行会有很多兼容性问题,我们应该准备好一个win7环境(可以用虚拟机)以便更好地运行程序。Verilog一个很方便的地方是分模块设计可以很方便的理清思路,检查错误,而且通过打包成器件,可以很直观地综合整个设计。在整个过程中遇到了很多小问题,很多报错都感觉不知所措,虽然最后都解决了,但很多错误的原因还没有非常明白,看来FPGA还应该更加深入地学习。........忽略此处.......

基于FPGA的数字时钟的设计1

基于FPGA的数字时钟的设计课题: 基于FPGA的数字时钟的设计 学院: 电气信息工程学院 专业: 测量控制与仪器 班级 : 08测控(2)班 姓名 : 潘志东 学号 : 08314239 合作者姓名: 颜志林 2010 年12 月12 日

综述 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉与掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题与故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计与组织实验能力。 本次课程设计的目的在于培养学生对基本电路的应用与掌握,使学生在实验原理的指导下,初步具备基本电路的分析与设计能力,并掌握其应用方法;自行拟定实验步骤,检查与排除故障、分析与处理实验结果及撰写实验报告的能力。综合实验的设计目的就是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟就是一种计时装置,它具有时、分、秒计时功能与显示时间功能;具有整点报时功能。 本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固与掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识与了解。

1、课题要求 1、1课程设计的性质与任务 本课程就是电子与信息类专业的专业的专业基础必修课——“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析与解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力与严谨的工作作风。 1、2课程设计的基本技术要求 1)根据课题要求,复习巩固数字电路有关专业基础知识; 2)掌握数字电路的设计方法,特别就是熟悉模块化的设计思想; 3) 掌握QUARTUS-2软件的使用方法; 4) 熟练掌握EDA工具的使用,特别就是原理图输入,波形仿真,能对仿真波形进行分析; 5) 具备EDA技术基础,能够熟练使用VHDL语言进行编程,掌握层次化设计方法; 6) 掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法; 7) 能根据设计要求对设计电路进行仿真与测试; 8) 掌握将所设计软件下载到FPGA芯片的下载步骤等等。 9) 将硬件与软件连接起来,调试电路的功能。 1、3课程设计的功能要求 基本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。 附加功能:1)能利用硬件部分按键实现“校时”“校分”“清零”功能; 2)能利用蜂鸣器做整点报时:当计时到达59’59’’时开始报时, 鸣叫时间1秒钟; 3)定时闹铃:本设计中设置的就是在七点时进行闹钟功能,鸣叫 过程中,能够进行中断闹铃工作。 本人工作:负责软件的编程与波形的仿真分析。 2、方案设计与分析

基于FPGA的数字钟设计

摘要 伴随着集成电路技术的发展, 电子设计自动化(EDA)技术逐渐成为数字电路设计的重要手段。基于FPGA的EDA技术的发展和应用领域的扩大与深入,使得EDA技术在电子信息,通信,自动控制,计算机等领域的重要性日益突出。 本设计给出了一种基于FPGA的多功能数字钟方法,采用EDA作为开发工具,VHDL语言和图形输入为硬件描述语言,QuartusII作为运行程序的平台,编写的程序经过调试运行,波形仿真验证,下载到EDA实验箱的FPGA芯片,实现了设计目标。 系统主芯片采用CycloneII系列EP2C35F672C8。采用自顶向下的设计思想,将系统分为五个模块:分频模块、计时模块、报时模块、显示模块、顶层模块。用VHDL语言实现各个功能模块, 图形输入法生成顶层模块. 最后用QuartusII 软件进行功能仿真, 验证数字钟设计的正确性。 测试结果表明本设计实现了一个多功能的数字钟功能,具有时、分、秒计时显示功能,以24小时循环计时;具有校正小时和分钟的功能;以及清零,整点报时功能。 关键词:EDA技术;FPGA;数字钟;VHDL语言;自顶向下

Abstract Accompanied by the development of integrated circuit technology, electro nic design automation (EDA) technology is becoming an important means of digital circuit design. FPGA EDA technology development and expansion of a pplication fields and in-depth, the importance of EDA technology in the field of electronic information, communication, automatic control, computer, etc. hav e become increasingly prominent. This design gives a FPGA-based multifunctional digital clock using ED A as a development tool, VHDL language and graphical input hardware descri ption language, the QuartusII as a platform for running the program, written procedures debugging and running, the waveform simulation downloaded to th e FPGA chip to achieve the design goals. The main system chip CycloneII series EP2C35F672C8. Adopted a topdw n design ideas, the system is divided into five modules: frequency module, ti ming module, timer module, display module, the top-level module. With VHD L various functional modules, graphical input method to generate the top-level module. Last QuartusII under simulation, to verify the correctness of the digi tal clock design. The test results show that the design of a multifunctional digital clock, with seconds time display, 24-hour cycle timing; has a school, cleared, and th e whole point timekeeping functions. Key words: EDA technology; FPGA; VHDL language; top-down; digital cloc k

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

FPGA实训报告——简易数字钟

桂林电子科技大学职业技术学院 课题:FPGA实训 专业:电子信息工程技术 学号: 姓名:

目录 关键词: (1) 引言: (1) 设计要求: (1) EDA技术介绍: (1) Verilog HDL简介: (1) 方案实现: (2) 工作原理: (2) 总结: (3) 结语: (3) 程序设计: (4)

数字钟 关键词:EDA、Verilog HDL、数字钟 引言: 硬件描述语言HDL(Hardware Des-cription Language)是一种用形式化方法来描述数字电路和系统的语言。目前,电子系统向集成化、大规模和高速等方向发展,以硬件描述语言和逻辑综合为基础的自顶向下的电路设计发放在业界得到迅猛发展,HDL在硬件设计领域的地位将与C和C++在软件设计领域的地位一样,在大规模数字系统的设计中它将逐步取代传统的逻辑状态表和逻辑电路图等硬件描述方法,而成为主要的硬件描述工具。 Verilog HDL是工业和学术界的硬件设计者所使用的两种主要的HDL之一,另外一种是VHDL。现在它们都已经成为IEEE标准。两者各有特点,但Verilog HDL拥有更悠久的历史、更广泛的设计群体,资源也远比VHDL丰富,且非常容易学习掌握。 此次以Verilog HDL语言为手段,设计了多功能数字钟,其代码具有良好的可读性和易理解性。 设计要求: 数字钟模块、动态显示模块、调时模块、到点报时模块等;必须有键防抖动功能。可自行设计8位共阴数码管显示;亦可用FPGA实验平台EDK-3SAISE上的4位数管,但必须有秒指导灯。 EDA技术介绍: 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 Verilog HDL简介: 硬件描述语言Verilog是Philip R.Moorby于1983年在英格兰阿克顿市的Gateway Design Automation硬件描述语言公司设计出来的,用于从开关级到算法级的多个抽象设

基于FPGA的Verilog HDL数字钟设计 -

基于FPGA的Verilog HDL数字钟设计 专业班级姓名学号 一、实验目的 1.掌握可编程逻辑器件的应用开发技术——设计输入、编译、仿真和器件编程; 2.熟悉一种EDA软件使用; 3.掌握Verilog设计方法; 4.掌握分模块分层次的设计方法; 5.用Verilog完成一个多功能数字钟设计; 6.学会FPGA的仿真。 二、实验要求 ?功能要求: 利用实验板设计实现一个能显示时分秒的多功能电子钟,基本功能: 1)准确计时,以数字形式显示时、分、秒,可通过按键选择当前显示时间范围模式; 2)计时时间范围00:00:00-23:59:59 3)可实现校正时间功能; 4)可通过实现时钟复位功能:00:00:00 扩展功能: 5)定时报:时间自定(不要求改变),闹1分钟(1kHz)---利用板上LED或外接电路实现。 6)仿广播电台正点报时:XX:59:[51,53,55,57(500Hz);59(1kHz)] ---用板上LED或外接 7)报整点时数:XX:00:[00.5-XX.5](1kHz),自动、手动---用板上LED或外接 8)手动输入校时; 9)手动输入定时闹钟; 10)万年历; 11)其他扩展功能; ?设计步骤与要求: 1)计算并说明采用Basys2实验板时钟50MHz实现系统功能的基本原理。 2)在Xilinx ISE13.1 软件中,利用层次化方法,设计实现模一百计数及显示的电路系 统,设计模块间的连接调用关系,编写并输入所设计的源程序文件。 3)对源程序进行编译及仿真分析(注意合理设置,以便能够在验证逻辑的基础上尽快 得出仿真结果)。 4)输入管脚约束文件,对设计项目进行编译与逻辑综合,生成下载所需.bit文件。 5)在Basys2实验板上下载所生成的.bit文件,观察验证所设计的电路功能。

Verilog HDL数字时钟课程设计

课程设计报告 课程设计名称:EDA课程设计课程名称:数字时钟 二级学院:信息工程学院 专业:通信工程 班级:12通信1班 学号:1200304126 姓名:@#$% 成绩: 指导老师:方振汉 年月日

目录 第一部分 EDA技术的仿真 (3) 1奇偶校验器 (3) 1.1奇偶校验器的基本要求 (3) 1.2奇偶校验器的原理 (3) 1.3奇偶校验器的源代码及其仿真波形 (3) 28选1数据选择器 (4) 2.18选1数据选择器的基本要求 (4) 2.28选1数据选择器的原理 (4) 2.38选1数据选择器的源代码及其仿真波形 (5) 34位数值比较器 (6) 3.14位数值比较器的基本要求 (6) 3.24位数值比较器的原理 (6) 3.34位数值比较器的源代码及其仿真波形 (7) 第二部分 EDA技术的综合设计与仿真(数字时钟) (8) 1概述 (8) 2数字时钟的基本要求 (9) 3数字时钟的设计思路 (9) 3.1数字时钟的理论原理 (9) 3.2数字时钟的原理框图 (10) 4模块各功能的设计 (10) 4.1分频模块 (10) 4.2计数模块(分秒/小时) (11) 4.3数码管及显示模块 (13) 5系统仿真设计及波形图........................... 错误!未定义书签。5 5.1芯片引脚图.................................... 错误!未定义书签。5 5.2数字时钟仿真及验证结果 (16) 5.3数字时钟完整主程序 (17) 6课程设计小结 (23) 7心得与体会 (23) 参考文献 (24)

基于FPGA的数字钟设计

南昌大学实验报告 学生姓名:邓儒超学号:6100210045 专业班级:卓越通信101 实验类型:□验证□综合□√设计□创新实验日期:2012.10.28 实验成绩: 实验三数字钟设计 一、实验目的 (1)掌握数字钟的设计 二、实验内容与要求 (1)设计一个数字钟,要求具有调时功能和24/12进制转换功能 (2)进行波形仿真,并分析仿真波形图; (3)下载测试是否正确; 三、设计思路/原理图 本次数字钟的设计采用了自顶向下分模块的设计。底层是实现各功能的模块,各模块由vhdl语言编程实现:顶层采用原理图形式调用。其中底层模块包括秒、分、时三个计数器模块、按键去抖动模块、按键控制模块、时钟分频模块、数码管显示模块,其中,时计数器模块又包括24进制计数模块、12进制计数模块、24/12进制转换模块。设计框图如下: 由图可以清晰的看到数字钟系统设计中各功能模块间连接关系。系统时钟1KHZ经过分频后产生1秒的时钟信号,1秒的时钟信号作为秒计数模块的输入信号,秒计数模块产生的进位信号作为分计数模块的输入信号,分计数模块的进位信号作为时计数模块的输入信号。秒计数模块、分计数模块、时计数模块的计数输出分别送到显示模块。由于设计中要使用按键进行调节时间,而按键的动作过程中存在产生得脉冲的不稳定问题,所以就牵扯到按键去抖动的问题,对此系统中设置了按键去抖动模块,按键去抖动模块产生稳定的脉冲信号送入按键控制模块,按键控制模块根据按键的动作对秒、分、时进行调节。 原理图如下:

四、实验程序(程序来源:参考实验室里的和百度文库的稍加改动,还有自己写的) 1、分频模块 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpin IS PORT(CLK:IN STD_LOGIC; CLK1:OUT STD_LOGIC); END fenpin; ARCHITECTURE behav OF fenpin IS SIGNAL X,CNT:STD_LOGIC_VECTOR(11 DOWNTO 0); BEGIN P1:PROCESS(CLK) BEGIN X<="001111101000";--1000分频 IF CLK'EVENT AND CLK = '1' THEN CNT<=CNT+1; IF CNT=X-1 THEN CLK1<='1';CNT<="000000000000"; ELSE CLK1<='0'; END IF; END IF; END PROCESS; END behav; 2、60进制计数器(秒、分计数器)模块 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY count60 IS PORT(EN,RST,CLK1: IN STD_LOGIC; Q: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); COUT: OUT STD_LOGIC); END count60;

FPGA课程设计多功能数字钟讲解

多功能数字钟 开课学期:2014—2015 学年第二学期课程名称:FPGA课程设计 学院:信息科学与工程学院 专业:集成电路设计与集成系统班级: 学号: 姓名: 任课教师: 2015 年7 月21 日

说明 一、论文书写要求与说明 1.严格按照模板进行书写。自己可以自行修改标题的题目 2.关于字体: a)题目:三号黑体加粗。 b)正文:小四号宋体,行距为1.25倍。 3.严禁抄袭和雷同,一经发现,成绩即判定为不及格!!! 二、设计提交说明 1.设计需要提交“电子稿”和“打印稿”; 2.“打印稿”包括封面、说明(即本页内容)、设计内容三部分;订书机左边装订。 3.“电子稿”上交:文件名为“FPGA课程设计报告-班级-学号-姓名.doc”,所有报告发送给班长,由班长统一打包后统一发送到付小倩老师。 4.“打印稿”由班长收齐后交到:12教305办公室; 5.上交截止日期:2015年7月31日17:00之前。

第一章绪论 (3) 关键词:FPGA,数字钟 (3) 第二章FPGA的相关介绍 (4) 2.1 FPGA概述 (4) 2.2 FPGA特点 (4) 2.3 FPGA设计注意 (5) 第三章Quartus II与Verilog HDL相关介绍 (7) 3.1 Quartus II (7) 3.2 Verilog HDL (7) 第四章设计方案 (8) 4.1数字钟的工作原理 (8) 4.2 按键消抖 (8) 4.3时钟复位 (8) 4.4时钟校时 (8) 4.5数码管显示模块。 (8) 第五章方案实现与验证 (9) 5.1产生秒脉冲 (9) 5.2秒个位进位 (9) 5.3按键消抖 (9) 5.4复位按键设置 (10) 5.5 数码管显示。 (10) 5.6 RTL结构总图 (11) 第六章实验总结 (14) 第七章Verilog HDL源代码附录 (15)

基于FPGA的多功能数字钟的设计

基于FPGA的多功能数字钟的设计 摘要数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,是人们日常生活中不可少的必需品。本文介绍了应用FPGA芯片设计多功能数字钟的一种方案,并讨讨论了有关使用FPGA芯片和VHDL语言实现数字钟设计的技术问题。关键词数字钟、分频器、译码器、计数器、校时电路、报时电路。 Design of Abstract Keywords

目录 0.引言 (4) 1.设计要求说明 (4) 1.1设计要求 (4) 1.2完成情况说明 (4) 2.多功能数字钟的基本原理及其在FPGA中的设计与实现 (4) 2.1计时电路 (5) 2.2异步清零电路 (5) 2.3校时、校分功能电路 (5) 2.4报时电路 (6) 2.5分频电路 (7) 2.6闹钟及音乐闹铃电路 (9) 2.7秒表计时电路 (15) 2.8译码显示电路 (15) 2.9逻辑总图 (16) 3.设计感想 (17) 参考文献 (17)

0.引言 数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度远远超过老式钟表。钟表的数字化给人们生产生活带来了极大的方便而且大大地扩展了钟表原先的报时功能。因此,研究数字钟及扩大其应用,有着非常现实的意义。 1.设计要求说明 1.1设计要求 1)设计一个具有校时、校分、清零,保持和整点报时功能的数字钟。 2)多数字钟采用层次化的方法进行设计,要求设计层次清晰、合理;构成整个设计的功能模块既可采用原理图方法实现,也可采用文本输入法实 现。 3)数字钟的具体设计要求具有如下功能: ①数字钟的最大计时显示23小时59分59秒; ②在数字钟正常工作时可以进行快速校时和校分,即拨动开关K1可对小 时进行校正,拨动开关K2可对分钟进行校正; ③在数字钟正常工作情况下,可以对其进行不断电复位,即拨动开关K3 可以使时、分、秒回零; ④整点报时是要求数字钟在每小时整点来到前进行鸣叫,鸣叫频率是在 59分53秒、55秒、57秒时为500Hz,59分59秒时为1KHz; ⑤哟啊去所有开关具有去抖动功能。 4)对设计电路进行功能仿真。 5)将仿真通过的逻辑电路下载到EDA实验系统,对其进行验证。 1.2完成情况说明: 对于实验要求的基本功能我们设计的电路都能准确实现。另外,我们还附加了显示星期、秒表、闹钟时间来时播放音乐等功能。 2.多功能数字钟的基本原理及其在FPGA中的设计与实现 通过分析多功能数字钟的设计要求和所要实现的功能,应用层次化方法设计出数字钟应由计时模块、分频脉冲模块、译码显示模块、校时校分和清零模块、报时模块等几个模块组成,其原理框图如下图1所示:

fpga数字钟课程设计报告

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 学号:20133638 姓名:王一丁 指导教师:李世平 设计时间:2016年1月

摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟

目录 摘要 1 课程设计目的 2 课程设计内容及要求 2.1 设计任务 2.2 设计要求 3 VHDL程序设计 3.1方案论证 3.2 系统结构框图 3.3设计思路与方法 3.3.1 状态控制模块 3.3.2 时分秒模块 3.3.3 年月日模块 3.3.4 显示模块 3.3.5脉冲产生模块 3.3.6 扬声器与闹钟模块 3.4 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献

1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。 2 课程设计内容及要求 2.1 设计任务 (1)6个数字显示器显示时分秒,setpin按键产生一个脉冲,显示切换为年月日。 (2)第二个脉冲可预置年份,第三个脉冲可以预置月份,依次第四、 五、六、七个脉冲到来时分别可以预置时期、时、分、秒,第八个脉冲到来后预置结束正常从左显示时分秒。 (3)up为高时,upclk有脉冲到达时,预置位加一,否则减一。 2.2 设计要求 (1)在基本功能的基础上,闹钟在整点进行报时,产生一定时长的高电平。 (2)实现闹钟功能,可对闹钟时间进行预置,当达到预置时间时进行报时。

基于FPGA的数字时钟

xxxx大学 电子设计自动化技术与应用 设计报告 设计题目:基于FPGA的数字时钟 学院:通信学院 姓名: 学号:

目录 一、设计任务 (3) 二、总体设计方案 (3) 1、设计思想 (3) 2、总体设计框图 (3) 三、单元电路设计 (4) 1、秒计数器模块设计与实现 (4) 2、分计数器模块设计与实现 (5) 3、时计数器模块设计与实现 (6) 4、2选1选择器模块设计与实现 (7) 5、译码器模块的设计与实现 (8) 6、3-8线译码器模块设计与实现 (9) 7、分频器的设计与实现 (9) 8、顶层原理设计图 (10) 四、硬件测试与结果分析 (11) 1、硬件测试: (11) 2、测试过程及结果分析 (12) 五、收获与体会 (12)

一、设计任务 1、能进行正常的时、分、秒计时功能,由LED数码管显示时间,最大计时 为23:59:59。 2、小时显示采用24进制,分显示和秒显示都采用60进制。 3、具有调时和调分功能。 二、总体设计方案 1、设计思想 本设计是基于Altera公司的Cyclone III 系列的EP3C16Q240C8芯片设计的,采用层次化设计方式,先设计数字时钟的底层器件:秒计数器、分计数器、时计数器、2选1选择器、译码器、分频器。顶层采用原理图设计方式,将所设计的底层器件连接起来构成一个具有计时和调时功能的数字时钟。 2、总体设计框图 完整的数字时钟设计硬件框图如图所示。

三、单元电路设计 1、秒计数器模块设计与实现 1.1秒计数器流程图如下: 1.2秒计数器生成模块如图1所示: 图1 其中,clk 是时钟信号,daout 是60计数输出,enmin 是向分进位的高电平。 1.3 波形仿真图

基于FPGA开发板的数字钟设计初探

基于FPGA开发板的数字钟设计初探 摘要:本文介绍了基于FPGA开发板的数字钟设计的基本构想,所提供的功能,基本的模块和控制逻 辑。 关键词: 模块,数字钟 1引言 VHDL结合FPGA可以方便地,可重复利用地实现各种设计,本文主要从原理上规划出设计一个数字钟需要的模块和功能逻辑,以便后期使用VHDL和FPGA实现。 2 设计原理 本文打算实现的数字钟向用户提供的功能包括:秒、分、时、日、月显示,闹钟设定,时间校准。鉴于所提供的功能,电路应当包括以下五大模块:控制模块,分频模块,闹钟模块,计时模块和显示模块。控制模块包括了输入部分,用户通过外部按钮选择数字钟工作模式和输入基准时间,闹钟时刻;分频模块主要是给需要的模块提供特定频率的时钟信号;闹钟模块的主要作用是接收并存储用户输入的闹钟时刻,比较当前时刻是否是用户所设定的闹钟时刻,进而决定是否启动闹钟提醒装置(蜂鸣器);计时模块包括了秒、分、时、日,月计数模块,并提供给显示模块显示输出;显示模块包括数码管及驱动部分,蜂鸣器。 3 电路设计 控制模块主要是一个译码电路,控制系统所处的模式:正常计时显示,时间校准,设定闹钟。 计时模块通过六十进制、二十四进制、三十或三十一进制和十二进制的计数器实现计时。计时模式下利用分频器提供的基准时钟信号实现计时;时间校准模式下,用户输入按钮的脉冲作时钟信号。 分频模块是一个分频器,将系统提供的时钟分频到需要的频率。 闹钟模块在计时模式下利用比较电路检测当前时间,如果是闹钟时刻,则启动蜂鸣器;闹钟模式下,用户通过输入按钮脉冲设定闹钟,闹钟模块记录并存储。 显示模块在计时模式和时间校准模式下由计时模块控制显示,设定闹钟时由闹钟模块控制显示,方便用户设定闹钟,蜂鸣器由闹钟模块控制。

基于FPGA的数字时钟的设计1

基于FPGA 的数字时钟的设计 课 题: 基于FPGA 的数字时钟的设计 学 院: 电气信息工程学院 专 业 : 测量控制与仪器 班 级 : 08测控(2)班 姓 名 : 潘 志 东 学 号 : 08314239 合作者姓名: 颜志林 2010 年 12 月 12 日

综述 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉和掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题和故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计和组织实验能力。 本次课程设计的目的在于培养学生对基本电路的应用和掌握,使学生在实验原理的指导下,初步具备基本电路的分析和设计能力,并掌握其应用方法;自行拟定实验步骤,检查和排除故障、分析和处理实验结果及撰写实验报告的能力。综合实验的设计目的是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟是一种计时装置,它具有时、分、秒计时功能和显示时间功能;具有整点报时功能。 本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固和掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识和了解。

1、课题要求 1.1课程设计的性质与任务 本课程是电子与信息类专业的专业的专业基础必修课——“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析和解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力和严谨的工作作风。 1.2课程设计的基本技术要求 1)根据课题要求,复习巩固数字电路有关专业基础知识; 2)掌握数字电路的设计方法,特别是熟悉模块化的设计思想; 3) 掌握QUARTUS-2软件的使用方法; 4) 熟练掌握EDA工具的使用,特别是原理图输入,波形仿真,能对仿真波形进行分析; 5) 具备EDA技术基础,能够熟练使用VHDL语言进行编程,掌握层次化设计方法; 6) 掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法; 7) 能根据设计要求对设计电路进行仿真和测试; 8) 掌握将所设计软件下载到FPGA芯片的下载步骤等等。 9) 将硬件与软件连接起来,调试电路的功能。 1.3课程设计的功能要求 基本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。 附加功能:1)能利用硬件部分按键实现“校时”“校分”“清零”功能; 2)能利用蜂鸣器做整点报时:当计时到达59’59’’时开始报时,鸣叫时间1秒钟; 3)定时闹铃:本设计中设置的是在七点时进行闹钟功能,鸣叫过程中,能够进行中断闹铃工作。 本人工作:负责软件的编程与波形的仿真分析。 2、方案设计与分析

基于FPGA的多功能数字钟课程设计

F P G A课程设计报告 (实现多功能数字钟)

一、标题:设计多功能数字钟控制电路 二、任务书:用MAX+PLU SⅡ软件及Verilog HDL语言设计 一个多功能的数字钟,包括有时、分、秒的计 时,以及校时(对小时、分钟和秒能手动调整 以校准时间)、正点报时(每逢整点,产生“嘀 嘀嘀嘀-嘟”,4短一长的报时音)等附加功能。 三、关键词:24进制、60进制、正点报时、校时、数字钟 四、总体方案:多功能数字钟控制电路框图是由三部分组成 的,即秒分时控制电路、整点报时控制电路、 时段控制电路。用Verilog HDL硬件描述语 言完成编译和仿真。 五、原理框图如下: ↓ ↓ ↓ 六、Verilog HDL硬件描述语言编写的功能模块: /*秒计数器m60*/

module m60(M,CP60M,CPM,RD); output [7:0]M; output CP60M; input CPM; input RD; reg [7:0]M; wire CP60M; always@(negedge RD or posedge CPM) begin if(!RD) begin M[7:0]<=0; end else begin if((M[7:4]==5)&&(M[3:0]==9)) begin M[7:0]<=0; end else begin if(M[3:0]==9) begin M[3:0]<=0; if(M[7:4]==5) begin M[7:4]<=0;end else M[7:4]<=M[7:4]+1; end else M[3:0]<=M[3:0]+1; end end

基于FPGA的VerilogHDL数字钟设计

基于FPGA的Verilog-HDL数字钟设计--

————————————————————————————————作者:————————————————————————————————日期:

基于FPGA的Verilog HDL数字钟设计 专业班级姓名学号 一、实验目的 1.掌握可编程逻辑器件的应用开发技术——设计输入、编译、仿真和器件编程; 2.熟悉一种EDA软件使用; 3.掌握Verilog设计方法; 4.掌握分模块分层次的设计方法; 5.用Verilog完成一个多功能数字钟设计; 6.学会FPGA的仿真。 二、实验要求 功能要求: 利用实验板设计实现一个能显示时分秒的多功能电子钟,基本功能: 1)准确计时,以数字形式显示时、分、秒,可通过按键选择当前显示时间范围模式; 2)计时时间范围00:00:00-23:59:59 3)可实现校正时间功能; 4)可通过实现时钟复位功能:00:00:00 扩展功能: 5)定时报:时间自定(不要求改变),闹1分钟(1kHz)---利用板上LED或外接电路实现。 6)仿广播电台正点报时:XX:59:[51,53,55,57(500Hz);59(1kHz)] ---用板上LED或外接 7)报整点时数:XX:00:[00.5-XX.5](1kHz),自动、手动---用板上LED或外接

8)手动输入校时; 9)手动输入定时闹钟; 10)万年历; 11)其他扩展功能; 设计步骤与要求: 1)计算并说明采用Basys2实验板时钟50MHz实现系统功能的基本原理。 2)在Xilinx ISE13.1 软件中,利用层次化方法,设计实现模一百计数及显示的电路系 统,设计模块间的连接调用关系,编写并输入所设计的源程序文件。 3)对源程序进行编译及仿真分析(注意合理设置,以便能够在验证逻辑的基础上尽快 得出仿真结果)。 4)输入管脚约束文件,对设计项目进行编译与逻辑综合,生成下载所需.bit文件。 5)在Basys2实验板上下载所生成的.bit文件,观察验证所设计的电路功能。 三、实验设计 功能说明:实现时钟,时间校时,闹铃定时,秒表计时等功能 1.时钟功能:完成分钟/小时的正确计数并显示;秒的显示用LED灯的闪烁做指示; 时钟利用4位数码管显示时分; 2.闹钟定时:实现定时提醒及定时报时,利用LED灯代替扬声器发出报时声音; 3.时钟校时:当认为时钟不准确时,可以分别对分钟和小时位的值进行调整; 4.秒表功能:利用4个数码管完成秒表显示:可以实现清零、暂停并记录时间等功能。 秒表利用4位数码管计数; 方案说明:本次设计由时钟模块和译码模块组成。时钟模块中50MHz的系统时钟clk分频产

基于FPGA的数字钟设计

摘要 本设计为一个可调数字钟,具有时、分、秒计数功能,以24小时循环计数。本设计采用FPGA技术,以硬件描述语言VreilogHDL为系统逻辑描述手段设计文件,在QUARTUS2工具软件环境下,采用自顶向下的设计方法,由各个模块共同构建一个基于CPLD的数字钟。系统芯片采用6块74160实现了60进制(分、秒)、24进制(小时)的编译,由时钟模块、控制模块、计时模块、数据译码模块、显示模块组成。最终经过编译和仿真所涉及的程序,在可编程逻辑器件上下载验证,本系统能够完成时、分、秒的分别显示,可以在程序中直接改变初始值。 关键词:数字钟硬件描述语言VerilogHDL FPGA

1.实验目的 本实验主要内容涉及数字逻辑电路的设计、数字电路仿真软件Quartus Ⅱ以及VHDL 设计语言的学习与应用。实验目的在于让实验者掌握数字逻辑电路的设计方法和过程,并且能够熟练准确地设计出具有特定功能的较复杂数字逻辑电路,此外,实验者还应熟练掌握Quartus Ⅱ软件的设计、仿真以及编译下载的使用方法。 2 .课程要求 用Verilog 硬件描述语言设计数字钟,实现: 1.具有时、分、秒计数显示功能,以二十四小时循环计时。 2.具有调节小时、分钟功能。 3.具有整点报时功能。 3.系统设计总体方案 秒模块 时模块

4.各模块电路具体实现 4.1 时钟分频模块 module div_clk(clk_50MHz,clk_1Hz,clk_1KHz,clk_500Hz); input clk_50MHz; output clk_1Hz,clk_500Hz,clk_1KHz; reg clk_1Hz,clk_500Hz,clk_1KHz; reg [24:0] cnt1; reg [24:0] cnt2; reg [24:0] cnt3; always @(posedge clk_50MHz) begin if(cnt1 == 24999999) begin cnt1<=0; clk_1Hz=~clk_1Hz; end else cnt1<=cnt1+1'b1; end always @(posedge clk_50MHz) begin if(cnt2 == 24999) begin cnt2<=0; clk_1KHz=~clk_1KHz; end else cnt2<=cnt2+1'b1; end always @(posedge clk_50MHz) begin if(cnt3 == 49999) begin cnt3<=0; clk_500Hz=~clk_500Hz; end else cnt3<=cnt3+1'b1; end endmodule

基于FPGA的数字钟的设计

数字钟的设计 学生姓名:XXX 学生学号:20XX 院(系):电气信息工程学院 年级专业:20XX级电子信息工程班小组:XXXX 指导教师:XXXX 二零XX年X月XX日

摘要 本设计为一个多功能的数字钟,具有时、分、秒计数显示功能,以24小时循环计数;具有校对功能。 本设计采用EDA技术,以硬件描述语言VHDL为系统逻辑描述手段设计文件,在QUARTUSII工具软件环境下,采用自顶向下的设计方法,由各个基本模块共同构建了一个基于FPGA的数字钟。 系统由时钟模块、控制模块、计时模块、数据译码模块、显示以及组成。经编译和仿真所设计的程序,在可编程逻辑器件上下载验证,本系统能够完成时、分、秒的分别显示,由按键输入进行数字钟的清零、启停功能。 关键词数字钟,硬件描述语言,VHDL,FPGA

Abstract The design for a multi-functional digital clock, with hours, minutes and seconds count display to a 24-hour cycle count; have proof functions function. The use of EDA design technology, hardware-description language VHDL description logic means for the system design documents, in QUAETUSII tools environment, a top-down design, by the various modules together build a FPGA-based digital clock. The main system make up of the clock module, control module, time module, data decoding module, display and broadcast module. After compiling the design and simulation procedures, the programmable logic device to download verification, the system can complete the hours, minutes and seconds respectively, using keys to cleared , start and stop the digital clock. Keywords digital clock,hardware description language,VHDL,FPGA

相关文档
最新文档