七人表决器实验报告

七人表决器实验报告
七人表决器实验报告

七人表决器

一.实验目的

1.掌握Quartus II软件安装,熟悉Quartus II操作环境。

2.初步了解VHDL语言。

3.学习使用行为级描述方法设计电路。

二.实验原理

七人表决器

使用7个电平开关作为表决器的7个输入变量,输入为电平“1”时表示表决者“赞同”,输入为电平“0”时表示表决者“不赞同”。当表决器的7个输入变量中有不少于4个输入变量输入“1”,那么表决结果输出逻辑高电平,表示表决“通过”,否则,输出逻辑低电平,表示表决“不通过”。

七人表决器的可选设计方案非常多,可以采用使用全加器的组合逻辑。使用VHDL 进行设计的时候,可以选择行为级描述、寄存器级描述,结等方法。

当采用行为级描述的时候,采用一个变量记载选举通过的总人数。当这个变量的数值大于等于4时,表决通过,绿灯亮;否则表决不通过,黄灯亮。因此,设计时,需要检查每一个输入的电平,并且将逻辑高电平的输入数目进行相加,并且进行判断,从而决定表决是否通过。

二.实验内容

1.安装Quartus II软件,熟悉Quartus II操作环境。

2.使用VHDL实现上述描述。

3.波形仿真。

4.生成元件以及RTL

四.设计提示

1.初次接触VHDL应该注意程序的框架结构,数据类型和运算操作符。

2.了解变量和信号的区别。

3.了解进程内外语句的顺序和并行执行的区别。

4.设计文本的端口可如下:

《VHDL 语言与数字逻辑电路设计》实验指导书

- 2 –

设计文本: LIBRARY IEEE; library ieee;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.ALL; ENTITY vote7 IS PORT(

men:in std_logic_vector(6 downto 0); LedPass,LedFail:OUT std_logic );

END vote7;

ARCHITECTURE behave OF vote7 IS signal pass:std_logic; BEGIN

PROCESS(men)

variable temp:std_logic_vector(2 downto 0); BEGIN

temp:="000"; for i in 0 to 6 loop if(men(i)='1')then temp:=temp+1; else

temp:=temp+0; end if; end loop;

pass<=temp(2); END PROCESS;

LedPass<='1' WHEN pass='1'ELSE '0'; LedFail<='1' WHEN pass='0'ELSE '0';

--库和程序包

--实体

--结构体

--结束

《VHDL 语言与数字逻辑电路设计》实验指导书

- 3 –

五.实验报告要求

1.记录仿真波形。

2.保存生成的元件以及

RTL

3.书写实验报告时要结构合理,层次分明,在分析描述的时候,注意语言的流畅。

四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

EDA实验报告 姓名: 学号: 班级:

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

七人表决器实验报告

七人表决器 一.实验目的 1.掌握Quartus II软件安装,熟悉Quartus II操作环境。 2.初步了解VHDL语言。 3.学习使用行为级描述方法设计电路。 二.实验原理 七人表决器 使用7个电平开关作为表决器的7个输入变量,输入为电平“1”时表示表决者“赞同”,输入为电平“0”时表示表决者“不赞同”。当表决器的7个输入变量中有不少于4个输入变量输入“1”,那么表决结果输出逻辑高电平,表示表决“通过”,否则,输出逻辑低电平,表示表决“不通过”。 七人表决器的可选设计方案非常多,可以采用使用全加器的组合逻辑。使用VHDL 进行设计的时候,可以选择行为级描述、寄存器级描述,结等方法。 当采用行为级描述的时候,采用一个变量记载选举通过的总人数。当这个变量的数值大于等于4时,表决通过,绿灯亮;否则表决不通过,黄灯亮。因此,设计时,需要检查每一个输入的电平,并且将逻辑高电平的输入数目进行相加,并且进行判断,从而决定表决是否通过。 二.实验内容 1.安装Quartus II软件,熟悉Quartus II操作环境。 2.使用VHDL实现上述描述。 3.波形仿真。 4.生成元件以及RTL 四.设计提示 1.初次接触VHDL应该注意程序的框架结构,数据类型和运算操作符。 2.了解变量和信号的区别。 3.了解进程内外语句的顺序和并行执行的区别。 4.设计文本的端口可如下:

《VHDL 语言与数字逻辑电路设计》实验指导书 - 2 – 设计文本: LIBRARY IEEE; library ieee; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.ALL; ENTITY vote7 IS PORT( men:in std_logic_vector(6 downto 0); LedPass,LedFail:OUT std_logic ); END vote7; ARCHITECTURE behave OF vote7 IS signal pass:std_logic; BEGIN PROCESS(men) variable temp:std_logic_vector(2 downto 0); BEGIN temp:="000"; for i in 0 to 6 loop if(men(i)='1')then temp:=temp+1; else temp:=temp+0; end if; end loop; pass<=temp(2); END PROCESS; LedPass<='1' WHEN pass='1'ELSE '0'; LedFail<='1' WHEN pass='0'ELSE '0'; --库和程序包 --实体 --结构体 --结束

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

单片机七人表决器

一.方案设计 1.设计题目:七人表决器。 2.实训要求 利用AT89S51单片机设计并制作会议表决计票器电路。具体要求如下: 1、可供57个人进行表决,每个人有一个“同意”和一个“反对”按键,表决时两个键先按下的一个有效,若再按另一个键将清楚前一次按键的效能;每次表决每个按键只能是第一次按的有效,多按的次数无效,除非前一次按键的效能已被清楚或没有生效。 2、会议主持人可利用按键控制表决开始和结束;开始表决后,点亮黄色指示灯,表示可以进行表决,同时清楚原来的表决结果;结束表决后显示表决结果;“同意”多于“反对”点亮绿色指示灯,反之点亮红色指示灯。 3、在实现上述功能的基础上增加“同意”数和“反对”数的显示。 二.硬件电路设计和原理。 1.硬件设计思路: 设计题目为5—7人表决器,题目选为七人表决器,七个按键表示同意,七个按键表示反对,各按键与单片机的输入端口相连,因此可选用单片机的四个I/O口,因为在单片机内部P1和P2都有上啦电阻,而P0没有上啦电阻,要在外部加上一个上拉电阻,为了简化电路,把P1和P2口选为按键同意和反对的输入端,因为表决考试和结束要

利用主持人按键来控制,我采用外部中断0和外部中断一来控制其开始和结束;设计要求中需要四张灯,分别为2个红灯,一个黄灯,一个绿灯,其中三个灯用于输出显示,可用单片机的I/O口,另外一个红灯作为电源灯来显示,判断是否通电,因为P1口和P2口用做按键的同意和反对,把P2剩余的I/O口与三个灯连接,分别连接在P2.3,P2.4,P2.5口,因为P0口是低电平有效所以我的P0口与LED现实器相连用于显示反对与同意的人数的多少,采用动态显示的方式,为了保证两个显示器不再相同时间显示相同的数字,所以LED显示器的公共端受另外一组信号的控制,采用延时的方式让它们分别显示出来。 2.元件参数确定: 设计中需要四盏灯,分别为两个红灯,一个绿灯,一个黄灯,P0口的输出端输出高电平一般为5伏左右,最大电流为五毫安,因此必须加上限流电阻,我选用的是470欧的电阻,然后将单片机的最小系统加入此次的电路中。 3.元件清单: 14个开关用于7人同意与反对按键,另外两个开关用做控制投票开始和结束的总开关。 电容:用于单片机的最小系统。 发光二极管:用于表示投票开始与结束,和最后同意与反对票数的对比情况。 电阻:用于限流。

单片机实验8路抢答器C语言知识版

单片机综合实验报告 题目: 8路抢答器实验 班级: 姓名: 学号: 指导老师: 时间:

一、实验内容: 以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。本实验有Protues软件仿真。 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定为30秒。 当主持人启动“开始”键后,定时器进行减计时,同时绿色LED灯亮。 二、实验电路及功能说明 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统复位为止。复位后参赛队员可继续抢答。 如果定时时间已到,无人抢答,本次抢答无效,系统报警红色LED灯亮,并禁止抢答,定时显示器上显示00。

三、实验程序流程图: 主程序; 非法抢答序;抢答时间调整程序;回答时间调整程序;倒计时程序;正常抢答处理程序;犯规处理程序;显示及发声程序。主流程图如下图所示 子程序

四、实验结果分析 五、心得体会

六、程序清单 #include #define uchar unsigned char #define uint unsigned int sbit wela_a=P3^0; sbit wela1=P3^1; sbit wela2=P3^7; sbit rest=P3^5; sbit host=P3^6; sbit led1=P3^4;//绿灯 sbit led2=P3^3;//红灯 sbit led3=P3^2;//黄灯 sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; sbit key5=P1^4; sbit key6=P1^5; sbit key7=P1^6; sbit key8=P1^7; uchar x,q,d,s,ge,t0,t1,start,flag; uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71}; void init();/*初始函数申明*/ void display(uchar s,uchar ge,uchar a); void delay(uint z); void keyscan(); void main() { init(); display(s,ge,a); while(1) { if(host==0) //主持人控制开关 { delay(5); if(host==0) { flag=1; start=1; delay(5); 延时 while(!host); 检测开关 } } if(rest==0) //复位 { delay(5); if(rest==0) { q=30; led2=1; led3=1; x=0; delay(5);

Quartus六路抢答器实验报告

抢答器实验报告 一、 抢答器的功能介绍: 1、 基本功能: (1) 抢答器同时供6名选手比赛,分别用6个按钮S0 ~ S5表示。 (2) 设置一个系统清除和抢答控制开关S ,该开关由主持人控制。 (3) 抢答器具有锁存与显示功能。即优先锁存抢答选手的编号,并显示在数码管上,扬声器发出音响提示。此时其它选手再按键无效。优先抢答选手的编号一直保持到主持人将系统清除为止。 → →→ 2、 扩展功能: (1) 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动“开始”键后,定时器进行减计时显示。主持人按“开始” 键前抢答给以警告,抢答选手的LED 闪烁 (2) 参赛选手在设定的时间内抢答后,定时器停止减计时。 (3) 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 二、抢答器总体方案设计及原理图; 1、总体方案图 (1)主持人有开始键和复位键,按下开始键后才能开始抢答,否则犯规。其他六个人设有六个按键。按键中加有除颤装置。

(2)抢答后选手的号码用数码管显示,正常抢答后显示抢到的选手号码,并有铃声响起,如果在主持人按开始键前有人抢答,则选手的指示灯会闪烁,并有报警音响起。 (3)如果10秒内没有抢答,则说明该题超时作废。 三、 1、键入模块 选 手 、 主持人未开始, 选手抢答,指示

(1)、除颤装置 除颤装置的原理是:在按键操作时,机械触点的弹性及电压跳动等原因。在触点闭合或开启的瞬间会出现电压的抖动,如果不进行处理就会造成误操作。按键去抖动的关键在于提取稳定的低电平状态。如果连续几次为低电平,可以认为信号已经处于稳定状态,此时输出一个低电平按键信号。即:当给与D触发器一个100hz的脉冲信号,选手按键后(为低电平)经过多个D触发器触发,最后输出稳定的低电平。(2)、总的键入装置

七人表决器

学院名称电子技术基础课程设计报告 七人表决器电路设计报告 学生姓名__ _____ 学号 专业 指导教师 系别__ _ 年月日

一、评语(根据学生答辩情况及其报告质量综合评定)。 二、评分 指导教师签字: 年月日

摘要 本次设计的七人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,相应灯的明亮即显示出表决结果。在七人表决器中七个人分别用手指拨动开关 SW1、SW2、SW3、SW4、SW5、SW6、SW7 来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方)不同意就把自己的指拨开关拨到低电平(下方)。表决结果用 LED(高电平亮)显示,如果决议通过那么发光二极管会发亮;如果不通过那么发光二极管就不亮;如果对某个决议有任意四到七人同意,那么此决议通过,发光二极管就会发亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,发光二极管就不会亮。根据设计与制作的主要内容按照设计题目,以及所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成七人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。首先根据七人多数表决电路列出真值表,进行化简,写出逻辑表达式,画出逻辑图。

目录 1 概述 (1) 2 系统总体方案及硬件设计 (2) 2.1电路的总体原理框图 (2) 2.2元件选择 (2) 3 各模块设计 (3) 3.1投票按键部分电路设计 (3) 3.2输入转换部分及控制电路 (3) 3.3票数统计部分及控制电路 (4) 3.4票据分析与结果显示分 (5) 3.5总体电路 (7) 4 软件仿真 (8) 5 课程设计体会 (9) 参考文献(按照标准格式) (10)

三人表决器EDA实验

三人表决器 1、实验目的 掌握在Quartus Ⅱ开发环境下,运用硬件描述语言输入法对“三人表决器”进行设计输入、编译、调试和仿真的方法。 “三人表决器”电路的输入为SW1、SW2 和SW3,输出为L3 和L4,位宽均为1 位。当SW1、SW2 和SW3中有超过2个以上的输入为1时,要求熟悉整个设计流程,从打开、建立文档、编辑、编译、建立激励信号波形及最后仿真的整个过程。 2、实验内容 (1)在Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入; (2)完成编译、调试和仿真,分析实验仿真结果,并判断其正确性。 3、教学形式 (1)本实验为验证型实验,学生在实验前预习实验指导书; (2)指导教师应该在实验前阐述实验目的、内容、方法和步骤,并且就实验中的难点和注意事项进行一定的说明; (3)实验结束之后,学生按照实验报告的书写格式自行完成实验报告。 4、应达到的实验能力标准 (1)能熟练地在Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入; (2)能熟练地进行编译和调试,排除编译后的错误; (3)正确地在仿真之前进行功能仿真的设置,并熟练地完成功能仿真; (4)掌握基于ACEX1K系列EP1K30TC144-3器件的时序仿真方法。 5、实验原理 三个人分别用拨位开关SW1、SW2、SW3 来表示自己的意愿,如果对某决议同意,各人就把自己的拨位开关拨到高电平,不同意就把自己的拨位开关拨到低电平。表决结果用LED (高电平亮)显示,如果决议通过那么实验板上L3 灯亮;如果不通过那么实验板上L3 灯不亮;如果对某个决议有任意二到三人同意,那么此决议通过,L3 亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L3 灯不亮。 6、实验步骤 双击Quartus4. 2 图标,启动Quartus4. 2,并新建工程

四人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号:11387121 指导老师:田丽娜 四人竞赛抢答器实验报告 、、- 一?刖言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2. 设计要求: 抢答器的基本功能: 1. 设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为

一,二,三,四,各用一个抢答按钮,分别用四个按钮S0S3表示。 2. 给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3. 抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 简言之,有选手按下时,显示选手的编号。同时,其他人再按下时电路不做任何处理。也就是说,如果有选手按下以后,别的选手再按的话电路不会显示是他的编号。 4. 可用555定时器产生频率为1H z的脉冲信号,作为触发器的 CP信号。四?四人竞赛抢答器电路原理及设计 1、设计方案 抢答器具有锁存、定时、显示。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED数码管把选手的编码显示出来。接通电源后,主持人将开关拨到“清除”状态,,编号显示器为0,此时才可以再次抢答。选 手在抢答时,抢答器完成:编号锁存、编号显示。 2. 单元电路设计及元器件选择 (1)抢答电路 电路如图2所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁定74LS175的功能真值表即优先抢答者的编号,同时译码显示电路显示选手编号;二是要使其他选手随后的按键操作无效。实现该电路的功能的主要芯片是 74IS175 (图1为功能表,图2为逻辑功能图,图3为锁存器电路) ^4LS17o的功能表 图一

七人表决器

EDA技术课程设计设计题目:七人表决器设计 院系:电气信息学院 专业年级:电子信息工程2010级 学生姓名:XXX 学号:XXXXXXX 指导教师:XXXXX 时间:2013年1月13日

用VHDL 设计七人表决器 一、实验目的 1、熟悉 VHDL 的编程。 2、熟悉七人表决器的工作原理。 3、进一步了解实验系统的硬件结构。 二、实验原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4 时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个拨动开关来表示七个人,当对应的拨动开关输入为‘1’时,表示此人同意;否则若拨动开关输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则 LED 被点亮;否则,如果表决的结果为反对,则 LED 不会被点亮。同时,数码管上显示通过的票数。 三、实验内容 本实验就是利用实验系统中的拨动开关模块和LED模 块以及数码管模块来实现一个简单的七人表决器的功能。 拨动开关模块中的K1~K7表示七个人,当拨动开关输入为 ‘1’时,表示对应的人投同意票,否则当拨动开关输入为

‘0’时,表示对应的人投反对票;LED 模块中 LED1 表示七人表决的结果,当 LED1 点亮时,表示此行为通过表决;否则当 LED1 熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。 四、硬件系统组成框图 五、VHDL程序实现 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity bjq7 is

电子信息工程路抢答器实验报告

《课程设计报告》 8路抢答器 班级: 姓名: 学号: 指导教师:

目录 一、任务与要求 (2) 1、基本功能 (3) 2、扩展功能 (3) 二、8路抢答器工作原理 (4) 1、电源部分 (4) 2、抢答器部分 (4) 3、定时部分 (6) 4、时序控制报警部分 (7) 5、计分部分 (9) 三、系统总原理图 (11) 四、收获体会 (11) 一、任务与要求

1、基本功能 ●抢答器同时供8名选手,分别用8个按钮S0 ~ S7表示。 ●设置一个系统清除和抢答控制开关S,该开关由主持人控制。 ●抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的 编号,扬声器发出声响提示,并在七段数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 2、扩展功能 ●抢答器具有定时抢答功能,且一次抢答的时间由主持人设 定(如20秒)。当主持人启动"开始"键后,定时器进行减 计时。如果定时时间已到,无人抢答,本次抢答无效,系 统报警并禁止抢答,定时显示器上显示00。 ●设置一个计分电路,具有加减分功能,加减分以10分为 基准,并在数码管上显示得分情况。

二、8路抢答器工作原理 1、电源部分 (1)、原理 输入交流220V通过电压变压器,再通过桥式整流电路和极性电容滤波,在固定式三端稳压器的Vin和GND两端形成一个并不十分稳定的直流电压(该电压常常会因为市电电压的波动或负载的变化等原因而发生变化)。此直流电压经过三端稳压器的稳压和其后的极性电容滤波便在稳压电源的输出端产生了精度高、稳定度好的直流输出电压。 (2)、原理图 2、抢答器部分 (1)、原理

七人表决器实验报告

竭诚为您提供优质文档/双击可除七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器 总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件multisim10.0.1进行仿真,在电机楼实验室20XX5进行验证。 三、设计要求 1、熟悉74Ls161,74Ls151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1.电路原理图(含管脚接线)电路原理图如图1所示 图1电路原理图 2.计算与仿真分析

仿真结果如图2、3、4所示 图2仿真结果 图4仿真结果 4.调试流程 调试流程如图5所示 图5调试流程 5.设计和使用说明 74Ls151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为c~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,g为使能端,低电平有效。 (1)使能端g=1时,不论c~A状态如何,均无输出(Y=0,w=1),多路开关被禁止。 (2)使能端g=0时,多路开关正常工作,根据地址码c、b、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:cbA=000,则选择D0数据到输出端,即Y=D0。如:cbA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图674Ls151引脚排列 表174Ls151功能表 74Ls161功能: (1)异步置“0”功能:接好电源和地,将清除端接低

电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在cp的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为0011,说明D3~D0的数据已预置到Q3~Q0端。 (3)计数和进位功能:将LD、cr、ceT、cep端均接高电平,cLK端输入单脉冲,记录输出端状态。如果操作准确,每输入一个cp 脉冲,计数器就进行一 篇二:课程设计报告---七人表决器设计 电子综合设计 题目 学院 专业 班级学生姓名指导教师 七人抢答器设计计信学院电子信息工程 20XX年6月18日 一、设计原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人

数字电路——三人表决器

数电设计实验报告 三 人 表 决 器

[设计题目] 三人表决器的设计与装配 [设计要求] 1.熟悉各元件的性能和设置元件的参数。 2.对电路图的原理进行分析,并对原理图进行改良,弄清楚电路的工作原理。 3. 元件安装符合工艺要求,既考虑其性能又应美观整齐。 焊接元件要注意焊点的圆润。 4.对元件的性能进行评估和替换、用性能和使用范围更好, 更常用的元件进行替换,使自己实际的元件更接近实际使用。 5 .学习数字逻辑电路的设计方法。 6. 熟知74LS138和74LS20芯片各引脚的功能及内部结构。 7.学会使用74LS138和74LS20芯片。 8.学会真值表与逻辑表达式及卡诺图之间的转换,能根据 化简后的逻辑表达式画出逻辑电路。 [电路介绍] 三人表决器主要由一个3-8位译码器(74LS138)和2个4输入与非门(74LS20)组成。通过三个按钮接受用户

输入。按钮按下表示同意,不按下表是否决,当没有人按下按钮时,或只有一个人按下按钮时,例如,S1按下,而S2和S0未按下,则红灯亮,绿灯灭,蜂鸣器无声音,表示否决,当有两个人及以上的人按下按钮后,例如,S1和S2按下,则红灯灭,绿灯亮,蜂鸣器发音,表示通过。 [电路原理] [元器件清单]

[电路真值表] 注(1表示同意、灯亮) (0表示不同意、灯不亮)

[PC板及实物图]

[电路的调试:] 电路的结果分析:在焊接是尽可能避免线路的交叉,不要把焊电焊的过大,相邻焊盘绝缘的一定不能连接在一起。 [设计心得体会] 通过这次实验,我从中收获了很多,懂得了对电路的进一步分析,也从而巩固和提高电路的设计能力、元件的选择及检测的能力等,同时也对我们所学的知识的掌握,通过本次实验我学会了逻辑表达式图之间的转换,通过检测电路使我们对电路有了更深的了解.

课程设计(四人抢答器)实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其他选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述 电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其他选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图:

(1)以锁存其为中心的编码显示器 抢答信号的判断和锁存可以采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0 (2)脉冲产生电路: 采用555组成的振荡器做触发器的时钟脉冲。 (3)音响电路: 可以利用555组成的振荡器输出脉冲,接入蜂鸣器,当选手按下按键时鸣叫,知道主持人清零为止。 2.调试要点 (1)画出整个系统的电路图,并列出所需材料清单。

七人表决器实验

3.3实验三七人表决器设计 3.3.1实验目的 1、掌握用QuartusII软件设计基本数字系统流程及注意事项。 2、进一步熟练掌握程序的编译、仿真、生成模块及芯片引脚号码锁定方法。 3、掌握分层设计的方法和注意事项 4、在实验报告中,总结数字系统设计步骤及注意事项。 3.3.2实验内容 基于QuartusII软件及VHDL语言实现七人表决器。当参与表决的7人中有4个或4个以上赞同时,表决器输出“1”表示通过,否则输出“0”表示不通过,并显示赞成和反对的人数。用7个开关作为表决器的7个输入变量,数码管显示人数,LED灯显示是否通过。 本实验4学时。 3.3.3实验仪器 ZY11EDA13BE型实验箱。 3.3.4实验原理 分析实验要求,七人表决器系统主要由两个模块构成:投票计数模块和数码管显示模块。 一、建立项目 (1)新建文件夹。路径及文件名中不可出现汉字。 (2)新建项目。一个数字系统可以由多个模块构成,使所有模块连接在一起的总文件叫做顶层文件,只有顶层文件名可以且必须与项目名相同。项目取名为bjq7。 (3)选择芯片

二、建立文件 首先,建立各个VHDL功能模块。 1.投票计数模块。 (1)新建VHDL文件 编辑VHDL程序。投票计数模块输入为七个电平开关input,输出为同意的人数agree,反对的人数disagree,是否通过指示灯y,程序清单如下: library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity BJQ is port(input:in std_logic_vector(6downto0);七个输入开关agree:out std_logic_vector(3downto0);同意的人数 disagree:out std_logic_vector(3downto0);不同意的人数 y:out std_logic);是否通过标志 end; architecture one of BJQ is begin process(input) variable cnt:integer range0to7; variable cnt0:integer range0to7; begin cnt:=0; for i in6downto0loop if input(i)='1'then cnt:=cnt+1; end if; end loop; cnt0:=7-cnt; if cnt>3then y<='0'; else y<='1'; end if; case cnt is when0=>agree<="0000"; when1=>agree<="0001"; when2=>agree<="0010"; when3=>agree<="0011"; when4=>agree<="0100"; when5=>agree<="0101"; when6=>agree<="0110"; when7=>agree<="0111"; when others=>agree<="0000"; end case; case cnt0is when0=>disagree<="0000"; when1=>disagree<="0001"; when2=>disagree<="0010"; when3=>disagree<="0011"; when4=>disagree<="0100"; when5=>disagree<="0101"; when6=>disagree<="0110"; when7=>disagree<="0111";

路抢答器实验报告

系别:电子工程系 班级:电子101 学号:23 姓名:李光杰 指导老师:佘明辉2011年6月23日星期四

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理 根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮

课程设计试验报告三人表决器

武汉纺织大学《数字逻辑》课程设计报告 题目:三裁判表决器 院系:数学与计算机学院 专业班级:计科094 学号:0904681223 学生姓名:李勤 指导教师:朱勇 2011年 5 月20 日

一、 引言 通过对传统数字电路的设计,掌握对数字逻辑设计概念的熟悉。 二、系统介绍: 主要仪器是TOS-2数字电路实验系统。选用的芯片是74LS151 8-1数据选择器。通过设计好的逻辑表达式,在TOS--2数字电路上选择好个个需要连入的拐脚,进行连线。实现三人表决器的功能。 三、设计任务及设计原理: 引脚图 功能表 设计有三个变量输入A ,B C ,二个输出 W ,F,其中F=W , 逻辑表达式: F=ABC C AB C B A BC A +++。A,B,C 通过三个开关相接,把D0---D7设置好之后, 16 15 14 13 12 11 10 9 74LS151 1 2 3 4 5 6 7 8V CC D 4 D 5 D 6 D 7 A 0 A 1 A 2D 3 D 2 D 1 D 0 Y Y S GND 输 入输 出D A 2 A 1 A 0 S Y Y × × × × 1D 0 0 0 0 0 D 1 0 0 1 0 D 2 0 1 0 0D 3 0 1 1 0D 4 1 0 0 0D 5 1 0 1 0D 6 1 1 0 0D 7 1 1 1 00 1D 0 0D D 1 1D D 2 2D D 3 3D D 4 4D D 5 5D D 6 6D D 7 7D

就可以通过调节开关来输入。输出接到一个LED灯,如果通过,那么灯就亮,否则的话,灭。 74LS151 0 G A A B B C C D0 D1 Y Y 0 D3 D3 W D4 1 D5 D6 D7 方法之一 四、代码清单:(机房答辩,提交代码) 只需要连线,无代码。 五、程序调试心得体会: 第一次线连接好之后,首先试验的是逻辑功能的正确性。用了两个LED灯,来作为输出,三个开关组合成A,B,C的八种状态。确保正确性之后,在进行的验证三人表决器。试验顺利,没有遇到困难。 六、参考文献: [1] 朱勇,数字逻辑,中国铁道出版社,2007.12 [2] 夏宇闻,Verilog DHL 入门,北京航空大学出版社,2007.5 七、致谢:感谢同学袁盼的一起合作,在连线过程中遇到问题时,一起商量。

八路抢答器实验报告1汇总

电子课程设计报告4511型八路数显抢答器 学生姓名: 专业: 班级: 学号: 指导教师: 同组成员: 时间:

第一章绪论 1.1关于4511型数显抢答器 八路智能抢答器主要由数字优先编码电路、锁存/译码/驱动电路于一体的CD4511集成电路、数码显示电路和报警电路组成。优先编码电路、C D4511集成电路将参赛队的输入信号在数码显示管上输出,用报警电路对时间进行严格控制,这样就构成了八路智能抢答器电路。 八路数字抢答器电路包括抢答,编码,优先,锁存,数显,复位及抢答键。抢答器数字优先编码电路由D1-D12组成,实现数字的编码。CD4511是一块含BCD-7段锁存/译码/驱动电路于一体的集成电路。抢答器报警电路由NE555接成音多谐振荡器构成。抢答器数码显示电路由数码管组成,输入的BCD码自动地由 CD4511内部电路译码成十进制数在数码管上显示。 1.2 选题的目的和意义 通过这次课程设计,让我了解到了八路智能抢答器的结构组成和工作原理,同时了解焊接的方法和技巧。 1.3 课题研究的内容 八路智能抢答器是采用了CD4511集成芯片来实现功能要求的,在抢答过程中,每个选手都有一个抢答按钮。在主持人按下复位键宣布抢答开始的时候,选手就开始进行抢答,在指定时间内选手进行抢答,数码显示屏上会显示最先抢答选手的编号。如果主持人没有按下开始键而选手就抢答视为犯规,数码显示屏显示犯规者的编号,扬声器持续发生。主持人可按复位键,新一轮抢答开始。

第2章抢答器的系统概述 2.1 系统的主要功能简介 4511型八路数显抢答器的主要功能有如下三点: 1. 可同时供8名选手参加比赛,其相应的编码分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应。 2.给主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。 2.2 抢答器的工作过程 1、开始上电之后,主持人按复位键,抢答开始。如有选手按下抢答键,报警电路会发出讯响声,并且数码显示电路上会显示成功抢答的选手的编号。 2、当有选手抢答成功之后,系统就进行了优先锁存,其他抢答选手抢答无效。 3、如果主持人未按下复位键,而有人按了抢答按键,此次抢答无效,只有当主持人按下了复位键,选手才能进行顺利抢答。 总而言之,本课题利用简单逻辑数字电路设计了智能抢答器,该抢答器具有基本的强大功能,提高了系统的可靠性、简化了电路结构、节约了成本,但是此抢答器功能还不够强大,还有很多功能无法实现,需要我们继续学习和研究。

四人表决器电路设计

名称:综合训练项目一题目:四人表决器电路设计 专业: 班级: 姓名: 学号: 辽宁工程技术大学 《数字电子技术》 综合训练项目一成绩评定表

《综合训练项目一》任务书 一、综合训练题目 四人表决器电路设计 二、目的和要求 1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。 2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。 成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。 上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。 三、训练计划 项目综合训练课下1周,课上1节。 第1天:针对选题查资料,确定整体设计方案; 第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。 第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;; 第6~7天:按格式要求编写整理设计报告。 四、设计要求 1. 每名同学按照自己分配的任务要求完成训练。 2. 绘图统一采用Visio2010。 指导教师: 日期:2017 年月 日

四人表决器在我们生活中应用非常广泛,比如表决等。掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。 本次的课程设计就是利用数字电子技术的知识做一个四人表决器。在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。经过仿真,符合四人表决的功能。 关键词:四人表决器;74LS183;74LS84;LED数码管