深入了解HCS08的内部时钟源 (ICS)

深入了解HCS08的内部时钟源 (ICS)
深入了解HCS08的内部时钟源 (ICS)

飞思卡尔半导体 AN3041 应用笔记 第0版, 10/2005

深入了解HCS08的内部时钟源(ICS)模块

作者:Scott Pape

飞思卡尔微控制器部系统工程部

在本文中,我们将较为深入地了解一下某些型号的HCS08系列微控制器(MCU)所具有的内部时钟源模块(ICS)。ICS是HCS08 MCU所采用的一种非常灵活的时钟源,然而它十分的经济高效,适用于HCS08系列中体积较小、成本较低的类型的MCU。

ICS中包含锁频环、内部时钟参考信号、外部振荡器和时钟选择子模块。这些子模块组合起来能提供各种时钟模式和频率,从而几乎能满足任何应用的需要。ICS有7种工作模式,后文中将详细讨论。

同时,我们将把ICS模块与用在其他HCS08 MCU中的内部时钟发生器(ICG)模块进行比较。此外,我们还会介绍ICS模块从HCS08的各种低功耗模式恢复时的工作过程。在结论部分,我们将介绍内部时钟参考信号的校准。

目录页1 ICS功能介绍 (2)

1.1 结构框图 (2)

1.2 ICS模式:关断 (4)

1.3 ICS模式:FEI (4)

1.4 ICS模式:FEE (4)

1.5 ICS模式:FBI和FBILP (5)

1.6 ICS模式:FBE和FBELP (5)

1.7 ICS与ICG (6)

1.8 附加应用功能 (6)

2 低功耗模式中的ICS (7)

2.1 停止1和停止2模式 (7)

2.2 停止3模式 (7)

2.3 等待模式 (8)

3 校准IRC (8)

3.1 如何校准— AN2496 (8)

3.2 不调整的运行 (8)

3.3 校准IRC (9)

1 ICS 功能介绍

深入了解HCS08的内部时钟源(ICS)模块

飞思卡尔半导体 General Business Information 3

FLL 的输出频率为参考时钟频率的512倍。FLL 包括三个主要部分:

·参考频率选择

·数字控制振荡器(DCO )

·用于比较这两个部分输出的滤波器

FLL 的工作原理与锁相环(PLL )非常相似。不同之处在于PLL 是根据参考时钟与DCO

时钟的相位差来调节输出的,而FLL 则是通过比较DCO 时钟与参考时钟的频率来工作的。FLL 对一个参考时钟周期内的DCO 时钟脉冲边沿数进行计数。因此,对于512倍的倍频器,FLL 应该在参考时钟的每两个上升沿之间得到512个DCO 输出的上升沿。FLL 的实现大多借助数字逻辑电路,因而不需要PLL 通常必须采用的外部滤波器件。

时钟选择逻辑只是简单地选择FLL 、外部参考时钟或内部参考时钟作为ICS 模块的输出。此外,还采用了一个时钟分频器电路,可以对输出进行1倍、2倍、4倍或8倍分频,用以降低输出时钟的频率。

内部参考时钟(IRC )是一个可调整的内部参考时钟信号,既可用作FLL 的参考时钟,也可直接用作CPU 和总线时钟的时钟源。这个内部参考时钟不需要诸如调整电容或电阻等的外部器件。IRC 由ICS 寄存器中的一个9位数值进行调整,解析度典型值能达到未调整IRC 频率的0.1%。与许多其他的内部参考时钟不同,这个IRC 可将频率在一定的范围内进行调整,从31.25kHz 变到39.06kHz 。当用作FLL 的参考时钟时,这将允许用户用0.1%的解析度,把总线频率设定在8MHz 至10MHz 间的任意值。调整之后,对调整频率的偏差典型值仅为 +0.5% 至 -1%,最大值也只不过是±2%。

外部振荡器参考时钟(OSC )实际上把三个外部时钟源合并在一个里面。它有一个采用32kHz 至38.4kHz 晶体或谐振器的低频振荡器,还有一个采用1MHz 至16MHz 晶体或谐振器的高频振荡器。这两个振荡器需要两个引脚即XTAL 和EXTAL 来生成时钟信号。OSC 还有一种外部时钟模式,该模式简单地把一个外部时钟信号引入MCU 。在此模式中,只需要EXTAL 脚,而XTAL 脚可用作通用I/O 。输入频率可以是0Hz 至20MHz 之间的任意值。由于引脚的限制,有些MCU 可能没有外部振荡器。

ICS 的子模块是否启动取决于采用哪种ICS 时钟模式。ICS 具有7种工作模式:

·关断

·FLL 启用、内部参考时钟(FEI )

·FLL 启用、外部参考时钟(FEE )

·FLL 旁路、内部参考时钟(FBI )

·FLL 旁路、内部参考时钟、低功耗(FBILP )

·FLL 旁路、外部参考时钟(FBE )

·FLL 旁路、外部参考时钟、低功耗(FBELP )

1 ICS 功能介绍

深入了解HCS08的内部时钟源(ICS)模块

表1:FEE 模式下的容许外部频率

FEE 中的总线频率可根据等式(2)计算:

f bus = (f OSC ÷参考时钟分频器)×512÷(2×总线分频器) 等式(2)

1.5 ICS 模式:FBI 和FBILP

FLL 旁路、内部参考时钟(FBI )模式与FLL 旁路、内部参考时钟低功耗(FBILP )模式基本上是一样的,只有一点不同。这两种模式均旁路FLL ,而直接采用IRC 生成ICSOUT 。不同之处在于FBI 模式让FLL 保持运行,而FBILP 模式则关闭FLL ,从而节省更多的功耗。FBILP 是通过配置为FBI 并设定ICS 控制寄存器2(ICSC2)中的LP 位来启动的。

采用FBI 而非FBILP 的原因之一,是为了要采用背景调试模式(BDM );之二,当应用要切换到FEI 时可节省FLL 的锁定时间。FLL 必须处于运行状态才能给BDM 通信提供一个高速时钟源。如果LP 位在BDM 启动后再置1,FLL 将保持运行状态,不管LP 位是否置1。同样,如果BDM 在LP 位置1后又启动,FLL 将开启。FLL 的锁定时间的最大规定值为1ms ,通常需要约500μs 的时间。关闭FLL 一般可节省大约220~310μA 的电流,具体数值取决于V DD 和FLL 的频率。

1.6 ICS 模式:FBE 和FBELP

FLL 旁路、外部参考时钟(FBE )模式和FLL 旁路、外部参考时钟低功耗(FBELP )模式与上述的FBI 和FBILP 模式类似,除了是用OSC 产生时钟源,而不是用IRC 。FLL 仍被旁路,LP 位则在未启动BDM 时关闭FLL 。

在1.4节中我们看到,当FLL 启用时(FEE ),OSC 的频率会受到限制。如果不会采用FEE 模式且ICS 被置于FBELP 模式(记住,FLL 在FBE 模式中保持运行),则这些限制都会解除,剩下的只是对OSC 参考时钟本身的限制:低频振荡器从32kHz 到38.4kHz 、高频振荡器从1MHz 到16MHz ,而外部时钟模式从0Hz 到20MHz 。然而,如果会启用BDM ,则FEE 的振荡器限制在FBILP 模式中 也适用。

飞思卡尔半导体 General Business Information 5

1 ICS 功能介绍 深入了解HCS08的内部时钟源(ICS)模块

6 General Business Information 飞思卡尔半导体

同样,对于FBELP ,1.4节中讨论的参考时钟分频器也不起作用。FEE 模式中的振荡器限制在FBE 模式中也适用。

1.7 ICS 与ICG

现在有几款HCS08 MCU 采用了内部时钟发生器(ICG )模块。为了区分ICS 和ICG ,应弄清几点差异。尽管ICS 是一种全新的模块,但是就功能而言,它基本上就是ICG 的一个子模块。ICS 旨在为体积更小、对成本更敏感的HCS08 MCU 提供一种尺寸更小、功耗更低的时钟模块。

首先,对于产生输出频率,ICG 模块中的FLL 具备更多的选择。ICS 的 FLL 将倍频数固定为512,可输出16MHz 到20MHz 的频率,而ICG 的 FLL 则具有8个软件可选的倍频值,还另有一个预分频器,既可用于高频(>1MHz ),也可用于低频(<100kHz )的参考时钟。ICG 的FLL 能够输出8MHz 到40MHz 的频率,同时对参考时钟的限制也较少。

ICG 提供了更多的时钟状态位。ICG 有9个状态位,几乎可监测ICG 运行的所有方面,包括LOCK 状态、当前的ICG 模式、DCO 稳定性和外部参考时钟稳定性(包括各种时钟)。ICS 只有三个状态位:两个用于监测当前的ICS 模式,另一位用于指示外部振荡器已启动。

ICG 还有一个时钟监控电路,能在FLL 失锁或时钟源失步时产生复位或中断。失步或失锁不仅能产生复位或中断,ICG 还会切换到内部时钟源,维持CPU 的运行。ICS 没有监测失步或失锁的功能。不过,有些HCS08的 MCU 给COP 看门狗定时器提供了一个完全独立的时钟源,能在CPU 和总线时钟出错时使MCU 复位。

有关ICG 的更多细节可参阅任何带ICG 模块的HCS08 MCU ,比如MC9S08GB60的数据手册,或应用笔记AN2494。

1.8 附加应用功能

应该提一下的两个附加功能是总线分频器和高增益振荡器。

本文先前已经提到过总线分频器。总线分频器有4个软件可选的数值用于总线时钟的分频:1、2、4或8,由ICSC2中的BDIV 位选择。除了关断模式,其他所有的ICS 模式中均可使用。当不需要较高的总线速度时,它可以降低CPU 和总线时钟,从而降低功耗。分频器位于FLL 之外,因此其数值的改变不会导致FLL 失锁。同时,分频器只是简单地从分频器链路的4个输出中选择1个,所以频率的改变只需要很少的几个总线周期。发生任何复位后,BDIV 设置为二分频。

2 低功耗模式中的ICS 深入了解HCS08的内部时钟源(ICS)模块

飞思卡尔半导体 General Business Information 7

当驱动晶振或谐振器时,OSC 模块可选择工作在低功耗或高增益模式。低功耗模式可限制外部器件上的电压振幅,以节省功耗。高增益模式驱动外部器件达到全电源轨道的幅值,从而使振荡电路不易遭受板级噪声的干扰。采用高增益选项所导致的功耗上升取决于电源电压和OSC 的频率。例如,一个运行于低功耗模式的32kHz 晶振通常消耗5μA 的电流,但不会随V DD 发生显著的变化。当V DD 为3V 时,相同的晶振运行于高增益模式下将消耗约40~50μA 的电流,而且电流会随V DD 发生较大的变化。

2 低功耗模式中的ICS

了解低功耗模式如何影响ICS 的运行是很重要的。

2.1 停止1和停止2模式

当MCU 进入停止1或停止2模式时,ICS 总是被置于关断模式。因此,执行STOP 指令时ICS 在所处的模式无关紧要,也不会影响处于停止1和停止2期间的功耗。

由于停止1和停止2模式均导致MCU 在停止恢复时执行POR (上电复位),因此,ICS 总是被重置为FEI ,BDIV 被设置为二分频,产生大约4MHz 的总线时钟。ICSTRM 将被重置为0x80,FTRIM 位会被清零,所以如果要采用内部参考时钟,要么对它进行重新校准,要么,如果调整值已保存在FLASH 存储器中,则需把保存值重新载入ICS 寄存器。

2.2 停止3模式

当进入停止3模式后,ICS 的大部分,包括FLL 将关闭。ICS 在执行STOP 指令时所处的模式不会影响停止3的功耗。但是,ICS 的两个控制位,IREFSTEN 和EREFSTEN 会影响停止3的电流。若置1,IREFSTEN 可使IRC 在停止3模式中保持启用状态。同样,若置1,EREFSTEN 可使OSC 在停止3模式中保持启用状态。将IREFSTEN 置位将产生约100μA 的停止3电流。将EREFSTEN 置位将对停止3电流产生不同的影响,取决于OSC 的频率和设置。

如果因复位而退出停止3,ICS 会像停止1或停止2的恢复那样回复至FEI 模式。不同之处是调整值不会重置,除非是发生了POR 。

如果因中断而退出停止3,则停止恢复时的ICS 模式由进入停止3时的模式决定:

·在FBI 模式中,ICS 用调整过的频率立即启动。

·在FEI 模式中,用停止之前、而且调整过的频率立即启动。

·在FEE 模式中,用停止之前的频率在开环模式下立即启动,等到稳定时间之后,再切换到外部参考时钟。

3 标准IRC

深入了解HCS08的内部时钟源(ICS)模块

飞思卡尔半导体 General Business Information 9

3.3 校准IRC

校准IRC 时,首先要写好ICSTRM 寄存器的值,然后用FTRIM 位来对频率进行“微调”。我们把这个总的9位数值称为调整值,其范围为0x000至0x1FF ,其中FTRIM 位是LSB (最低有效位)。

POR 复位之后的调整值总是0x100。写入一个较大的数值将降低频率,而写入一个较小的数值则将提高频率。如AN2496所述,调整值与周期成线性关系,只是晶圆加工过程中的微小偏差会在调整值与周期之间产生轻微的非线性。由于这些非线性的存在,AN2496推荐了一种迭代调整法来搜索最佳的调整值。

找到了一个芯片的调整值之后,可存储于FLASH 存储器中,以保存该数值。如果芯片断电,则可把保存值从FLASH 拷贝到ICS 寄存器中,轻松地实现IRC 的再调整。飞思卡尔给每个MCU 规定了一个推荐的FLASH 地址,用以存储其调整值。查询特定MCU 的数据手册中的内存分配图可找到此地址。在出厂时调整过的芯片中,出厂调整值就存储在这个地址中。

本页有意留空

深入了解HCS08的内部时钟源(ICS)模块

10 General Business Information 飞思卡尔半导体

本页有意留空

深入了解HCS08的内部时钟源(ICS)模块

飞思卡尔半导体 General Business Information 11

第0版

10/2005

General Business Information

How to Reach Us: Home Page: https://www.360docs.net/doc/0f13897296.html,

E-mail: support@https://www.360docs.net/doc/0f13897296.html,

USA/Europe or Locations Not Listed: Freescale Semiconductor Technical Information Center, CH370 1300 N. Alma School Road Chandler, Arizona 85224 +1-800-521-6274 or +1-480-768-2130 support@https://www.360docs.net/doc/0f13897296.html,

Europe, Middle East, and Africa: Freescale Halbleiter Deutschland GmbH Technical Information Center Schatzbogen 7 81829 Muenchen, Germany +44 1296 380 456 (English) +46 8 52200080 (English) +49 89 92103 559 (German) +33 1 69 35 48 48 (French) support@https://www.360docs.net/doc/0f13897296.html,

Japan: Freescale Semiconductor Japan Ltd. Headquarters ARCO Tower 15F 1-8-1, Shimo-Meguro, Meguro-ku, Tokyo 153-0064 Japan 0120 191014 or +81 3 5437 9125 support.japan@https://www.360docs.net/doc/0f13897296.html,

Asia/Pacific: Freescale Semiconductor Hong Kong Ltd. Technical Information Center 2 Dai King Street Tai Po Industrial Estate Tai Po, N.T., Hong Kong +800 2666 8080 https://www.360docs.net/doc/0f13897296.html,@https://www.360docs.net/doc/0f13897296.html,

For Literature Requests Only: Freescale Semiconductor Literature Distribution Center P.O. Box 5405 Denver, Colorado 80217 1-800-441-2447 or 303-675-2140 Fax:303-675-2150 LDCForFreescaleSemiconductor@https://www.360docs.net/doc/0f13897296.html, I nformation in this document is provided solely to enable system and software implementers to use Freescale Semiconductor products. There are no express or implied copyright licenses granted hereunder to design or fabricate any integrated circuits or integrated circuits based on the information in this document. Freescale Semiconductor reserves the right to make changes without further notice to any products herein. Freescale Semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does Freescale Semiconductor assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. “Typical” parameters that may be provided in Freescale Semiconductor data sheets and/or specifications can and do vary in different applications and actual performance may vary over time. All operating parameters, including “Typicals”, must be validated for each customer application by customer’s technical experts. Freescale Semiconductor does not convey any license under its patent rights nor the rights of others. Freescale Semiconductor products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the Freescale Semiconductor product could create a situation where personal injury or death may occur. Should Buyer purchase or use Freescale Semiconductor products for any such unintended or unauthorized application, Buyer shall indemnify and hold Freescale Semiconductor and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that Freescale Semiconductor was negligent regarding the design or manufacture of the part. Freescale?, 飞思卡尔? and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. ? Freescale Semiconductor, Inc. 2006. All rights reserved.

什么是内部时钟方式和外部时钟方式

什么是内部时钟方式和外部时钟方式 计算机工作时,是在统一的时钟脉冲控制下一拍一拍地进行的。这个脉冲是由单片机控制器中的时序电路发出的。单片机的时序就是CPU在执行指令 时所需控制信号的时间顺序,为了保证各部件间的同步工作,单片机内部电路应在唯一的时钟信号下严格地控时序进行工作,在学习51单片机的时序之前,我们先来了解下时序相关的一些概念。既然计算机是在统一的时钟脉冲控制下工作的,那么,它的时钟脉冲是怎么来的呢?要给我们的计算机CPU提供时序,就需要相关的硬件电路,即振荡器和时钟电路。我们学习的8051单片机 内部有一个高增益反相放大器,这个反相放大器的作用就是用于构成振荡器用的,但要形成时钟,外部还需要加一些附加电路。8051单片机的时钟产生有以下两种方法: 一、内部时钟方式:利用单片机内部的振荡器,然后在引脚XTAL1(18脚)和XTAL2(19脚)两端接晶振,就构成了稳定的自激振荡器,其发出的脉冲 直接送入内部时钟电路,外接晶振时,晶振两端的电容一般选择为30PF左右;这两个电容对频率有微调的作用,晶振的频率范围可在1.2MHz-12MHz之间选择。为了减少寄生电容,更好地保证振荡器稳定、可靠地工作,振荡器和电容应尽可能安装得与单片机芯片靠近。二、外部时钟方式:此方式是利用外部振荡脉冲接入XTAL1或XTAL2。HMOS和CHMOS单片机外时钟信号接入方式不同,HMOS型单片机(例如8051)外时钟信号由XTAL2端脚注入后直接送至内部时钟电路,输入端XTAL1应接地。由于XTAL2端的逻辑电平不是TTL 的,故建议外接一个上接电阻。对于CHMOS型的单片机(例如80C51),因内部时钟发生器的信号取自反相器的输入端,故采用外部时钟源时,接线方式为外时钟信号接到XTAL1而XTAL2悬空。

主板时钟电路工作原理

时钟电路工作原理:3.3v电源经过二极管和电感进入分频器后,分频器开始工作,和晶体一起产生振荡,在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450---700欧之间。在它的两脚各有1V左右的电压,由分频器提供。晶体两脚常生的频率总和是14.318M。 总频(OSC)在分频器出来后送到PCI槽的B16脚和ISA的B30脚。这两脚叫OSC测试脚。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC线上还电容。总频线的对地阻值在450---700欧之间,总频时钟波形幅度一定要大于2V电平。如果开机数码卡上的OSC灯不亮,先查晶体两脚的电压和波形;有电压有波形,在总频线路正常的情况下,为分频器坏;无电压无波形,在分频器电源正常情况下,为分频器坏;有电压无波形,为晶体坏。 没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率。有了总频,也不一定有频率。总频一定正常,可以说明晶体和分频器基本上正常,主要是晶体的振荡电路已经完全正常, 反之就不正常。 当总频产生后,分频器开始分频,R2将分频器分过来的频率送到南桥,在南桥处理过后送到P CI槽B8和ISA的B20脚,这两脚叫系统测试脚,这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1.5V,这两脚的阻值在450---700欧之间,由南桥提供。 在主板上RESET和CLK者是南桥处理的,在总频正常下,如果RESET和CLK都没有,在南桥电源正常情况下,为南桥坏。主板不开机,RESET不正常,先查总频。在主板上,时钟线 比AD线要粗一些,并带有弯曲。 二、主板时钟芯片电路及时序关系讲解 1、概述 主板时钟芯片电路提供给CPU,主板芯片组和各级总线(CPU总线,AGP总线,PCI总线,ISA总线等)和主板各个接口部分基本工作频率,有了它,电脑才能在CPU控制下,按步就班,协调地完成各项功能工作: 2、石英晶体多谐振荡器 a、解释说明,主板时钟芯片即分频器的原始工作振荡频率,由石英晶体多谐振荡器的谐振频率来产生,提供给分频率一个基准的14.318MHZ的振荡频率,它是一个多谐振荡器的正反馈环电路,也就是说它把输入作为输出,把输出作为输入的反馈频率,象这样一个永无休止的循环自激过程。 b、基本电路部分: c、分频器(时钟芯片)电路部分:分频器基本工作条件;石英晶体多谐振荡器提供14.318MHZ基准频率.;VCC(3.3V)工作电压(依具体时钟芯片而定);V SS接地线(~);滤波电容(对分频器产生的各级频率进行标正微调;分频器产生的各级总线时钟;CPU外部总线时钟频率(CPU CLOCK):66MHZ.100MHZ.133MHZ内存控制管理器总线时钟频率(DIMM):66MHZ.100.133MHZ;AGP总线时钟频率:66MH Z;PCI总线时钟频率:33MHZ;ISA总线时钟频率:8MHZ。 d、基本时序关系: CPU 66、100、133 PCI(33MHZ) ISA(8MHZ) 三、图解 频率发生器芯片

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

时钟电路基本原理

1时钟供电组成 时钟电路主要由时钟发生器(时钟芯片)、、、和等组成。 ● 时钟芯片时钟芯片主要有S. Winbond、 PhaseLink. C-Medi a、IC. IMI等几个品牌,主板上见得最多的是ICS和Winbond两种,如图6-1、图6-2所示。 ● 晶振 时钟芯片通常使用的晶振,如图6-3所示。 晶振与组成一个谐振回路,从晶振的两脚之问产生的输入到时钟芯片,如图6-4所示。 判断品振是否工作,可以用测量晶振两脚分别对地是否有(以上),这是晶振工作的前提条件,再用示波器测量晶振任意一脚是否有与标称频率相同的振荡正弦波输出(这是最准确的方法)。在没有示波器的情况下,可以直接更换新的晶振和谐振电容,用替换法来排除故障。 2 时钟电路工作原理 时钟电路的1=作原理图,如图6-5所示。 时钟芯片有电压输入后(有的时钟芯片还有一组电压),再有一个好信号,表示主板各部位所有的供电止常,于是时钟芯片开始工作。 晶振两脚产生的基本频率输入到时钟芯片内部的,从振荡器出来的基本频率经过“频率扩展锁相网路”进行频率扩展后输入到各个,

最后得到不同频率的时钟输出。 初始默认输出频率由频率选择锁存器输入引脚FS(4:0)设置,之后可以通过IIC总线再进行设置。 多数时钟芯片都支持IIC总线控制,通过一根双向的数据线(SD ATA)和一根时钟线( SCLK)对芯片的时钟输出频率进行设置。 图6-5中: 48MHz USB与48MHz DOT为固定48MHz时钟输出;3V66(3:1)共3组为的66MHz时钟输出: CPUCLKT (2:0)共3组为CPU时钟输出;CPUCLKC (2:0)共3组为CPU时钟输出,与CPUCLKT互为;CLK (6:0)共7组为 33MHz 的PCI时钟输出,输出到PCI插槽,有多少个PCI插槽就使用多少组。 主板的时钟分布如图6-6所示,内存总线时钟由北桥供给,部分主板电路设计有独立的内存时钟发生器,如图中虚线所示。 外频进入CPU后,乘以CPU的就是CPU实际的运行频率。例如外频是200MHz,CPU的倍频是14,那么CPU的实际运行频率是:200MHz ×14=。前端总线的频率是外频的整倍数。例如外频足133MHz,CPU 需要使用的前端总线频率是533MHz,那么就必须将133MHz外频4倍扩展,即133MHz×4=532MHz≈533MHz。 3 时钟电路故障检测 时钟电路故障通常足:全部无时钟,部分无时钟,时钟信号幅值(最高点电压)偏低。 其表现是开机无显示或不能开机。 诊断卡只能诊断PCI插槽或插槽有无时钟信号,并不代表主板其他部分的时钟就正常。最好使用示波器测量各个插槽的时钟输入脚或时钟芯片的各个时钟输出脚,看其频率和幅值是否符合,这是最准确的方法。 现在的CPU外频都已达到200MHz或更高,所以要测量CPU外频,要求示波器的带宽应在200MHz以上。

12小时数字钟电路设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:12小时数字钟电路设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级:34010104 学号:2013040101164 姓名: 指导教师:胡光元 完成日期:2016 年 1月 13 日

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (2) 1.1设计原理 (2) 1.2设计思路 (2) 1.3设计环境 (2) 第2章详细设计方案 (2) 2.1算法与程序的设计与实现 (3) 2.2流程图的设计与实现 (4) 第3章程序调试与结果测试 (7) 3.1程序调试 (7) 列举出调试过程中存在的问题 (7) 3.2程序测试及结果分析 (7) 参考文献 (9) 附录(源代码) (10)

第1章总体设计方案 1.1设计原理 通过Verilog语言,编写12小时数字钟电路设计与实现的Verilog程序,一般的做法是底层文件用verilog写代码表示,顶层用写的代码生成的原理图文件链接组成,最后在加上输入输出端口。采用自上而下的方法,顶层设计采用原理图设计输入的方式。 1.2设计思路 1.实时数字钟显示功能,即时、分、秒的正常显示模式,并且在此基础上增加上,下午显示。 2.手动校准。按动方式键,将电路置于校时状态,则计时电路可用手动方式校准,每按一下校时键,时计数器加1;按动方式键,将电路置于校分状态,以同样方式手动校分。 1.3设计环境 (1)硬件环境 ?伟福COP2000型计算机组成原理实验仪 COP2000计算机组成原理实验系统由……… ?COP2000集成调试软件 COP2000集成开发环境是为…………. (2)EDA环境 ?Xilinx foundation f3.1设计软件 Xilinx foundation f3.1是Xilinx公司的可编程期间………….

PLL时钟

什么是PLL 2007-01-18 16:53 1580人阅读评论(0) 收藏举报PLL 是Phase-Locked Loop(锁相环)的缩写。 什么是锁相环?锁相环是指一种电路或者模块,它用于在通信的接收机中,其作用是对接收到的信号进行处理,并从其中提取某个时钟的相位信息。或者说,对于接收 到的信号,仿制一个时钟信号,使得这两个信号从某种角度来看是同步的(或者说,相干的)。 由于锁定情形下(即完成捕捉后),该仿制的时钟信号相对于接收到的信号 中的时钟信号具有一定的相差,所以很形象地称其为锁相器。 而一般情形下,这种锁相环的三个组成部分和相应的运作机理是: 1 鉴相器:用于判断锁相器所输出的时钟信号和接收信号中的时钟的相差的幅度; 2 可调相/调频的时钟发生器器:用于根据鉴相器所输出的信号来适当的调节锁相器 内部的时钟输出信号的频率或者相位,使得锁相器完成上述的固定相差功能; 3 环路滤波器:用于对鉴相器的输出信号进行滤波和平滑,大多数情形下是一个低通 滤波器,用于滤除由于数据的变化和其他不稳定因素对整个模块的影响。 从上可以看出,大致有如下框图: ┌─────┐┌─────┐┌───────┐ →─┤鉴相器├─→─┤环路滤波器├─→─┤受控时钟发生器├→┬─→ └──┬──┘└─────┘└───────┘│ ↑↓ └──────────────────────────┘ 可见,是一个负反馈环路结构,所以一般称为锁相环(PLL: Phase Locking Loop) 锁相环有很多种类,可以是数字的也可以是模拟的也可以是混合的,可以用于恢复载波 也可以用于恢复基带信号时钟。

手机供电电路与工作原理

手机供电电路结构和工作原理 一、电池脚的结构和功能。 目前手机电池脚有四脚和三脚两种:(如下图) 正温类负正温负 极度型极极度极 脚脚脚 (图一)(图二) 1、电池正极(VBATT)负责供电。 2、TEMP:电池温度检测该脚检测电池温度;有些机还参与开机,当用电池能开机,夹正负极不能开机时,应把该脚与负极相接。 3、电池类型检测脚(BSI)该脚检测电池是氢电或锂电,有些手机只 认一种电池就是因为该电路,但目前手机电池多为锂电,因此,该脚省去便为三脚。 4、电池负极(GND)即手机公共地。 二、开关机键: 开机触发电压约为2.8-3V(如下图)。 内圆接电池正极外圆接地;电压为0V。 电压为2.8-3V。 触发方式 ①高电平触发:开机键一端接VBAT,另一端接电源触发 脚。 (常用于:展讯、英飞凌、科胜讯芯片平台) ①低电平触发:开机键一端接地,另一端接电源触发脚。 (除以上三种芯片平台以外,基本上都采用低电平触发。如:MTK、AD、TI、飞利浦、杰尔等。) 三星、诺基亚、moto、索爱等都采用低电平触发。

三、手机由电池直接供电的电路。 电池电压一般直接供到电源集成块、充电集成块、功放、背光灯、振铃、振动等电路。在电池线上会并接有滤波电容、电感等元件。该电路常引起发射关机和漏电故障。 四、手机电源供电结构和工作原理。 目前市场上手机电源供电电路结构模式有三种; 1、 使用电源集成块(电源管理器)供电;(目前大部分手机都使用该电路供电) 2、 使用电源集成块(电源管理器)供电电路结构和工作原理:(如下图) 电池电压 逻辑电压(VDD) 复位信号(RST) 射频电压(VREF) VTCXO 26M 13M ON/OFF AFC 开机维持 关机检测 (电源管理器供电开机方框图) 1)该电路特点: 低电平触发电源集成块工作; 把若干个稳压器集为一个整体,使电路更加简单; 把音频集成块和电源集成块为一体。 2)该电路掌握重点: 电 源 管 理 器 CPU 26M 中频 分频 字库 暂存

数字时钟电路图

多功能数字计时器设计 姓名:杨会章 学号: 1004220242 专业:通信工程 学院:电光学院 指导教师: 2021-9-15

目录 一、设计内容简介 (3) 二、电路功能设计要求 (3) 三、电路原理简介 (3) 四、各单元电路原理 1、脉冲发生电路 (3) 2、计时电路 (4) 3、译码显示电路 (4) 5、校分电路 (5) 4、清零电路 (6) 6、报时电路 (7) 7、基本电路原理图 (8) 8、动态显示原理 (9) 9、动态显示原理图 (10) 10、波形图 (11) 五、实验中问题及解决办法 (11) 六、附录 (12) 1、元件清单 (12) 2、芯片引脚图和功能表 (12) 3、参考文献 (15)

一、设计内容简介 实验采用中小规模集成电路设计一个数字计时器。数字计时器是由脉冲发生电路,计时电路,译码显示电路,和附加电路控制电路几部分组成。其中控制电路由清零电路,校分电路和报时电路组成。附加电路采用动态显示。 二、电路功能设计要求 1、设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下: 1)设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ); 2)设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能; 3)设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零。 4)设计校分电路:在任何时候,拨动校分开关,可进行快速校分。(校分隔秒) 5)设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz); 6)系统级联。将以上电路进行级联完成计时器的所有功能。 7)可以增加数字计时器附加功能:定时、动态显示等。 三、电路原理简介 32678Hz石英晶体振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器、D触发器输出标准秒脉冲。秒计数器记满60后向分计数器进位。计数器的输出经译码器送显示器。记时出现误差时可以用校时电路进行校分,校秒。利用74153四选一数据选择器和128Hz、64Hz时钟信号控制选择秒位、秒十位、分位输出到译码器,并选通相应的数码管,实现动态显示。 四、各单元电路原理 1、秒脉冲发生电路 采用32678Hz的石英晶体多谐振荡器作为脉冲信号源。经分频器CD4060的分频,从Q14端输出的2Hz的脉冲信号经D触发器组成的二分频电路得到1Hz 的秒脉冲信号。原理图如下:

台式机时钟电路的工作原理浅析

台式机时钟电路的工作原理浅析: DC3。5V电源给过二极管和L1(L1可以用0欧电阻代替)进入分频器后,分频器开始工作。,和晶体一起产生振荡,在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450-700之间。在它的两脚各有1V左右的电压,由分频器提供。晶体产生的频率总和是14。318M。总频OSC在分频器出来后送到PCI的B16脚和ISA的B30脚,这两脚叫OSC测试脚。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC的线上还有电容,总频线的对地阻值在450-700欧之间。总频的时钟波形幅度一定要大于2V。如果开机数码卡上的OSC灯不亮,先查晶体两的电压和波形。有电压有波形,在总频线路正常的情况下,为分频器坏;无电压无波形,在分频器电源正常的情况下,为分频器坏;有电压无波形为晶体坏。没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率。有了总频,南、北桥、内存、CPU、CACHE、I/O上不一定有频率。总频一旦正常,分频器开始分频,R2将分频器分过来的频率送到南桥,在面桥处理过后送到PCI的B39脚(PCICLK)和ISA的B20脚(SYSCLK),这两脚叫系统时钟测试脚。这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1。5V,这两脚的阻值在450-700欧之间,由南桥提供。在主板上,RST和CLK都是由南桥处理的,在总频正常,如果RST和CLK都没有,在南桥电源正常的情况下,为南桥坏。 主板不开,RST不正常,是先查总频。在数码卡上有OSC灯和RST灯,没有CLK灯的故障:先查R3输出的分频有没有,没有,在线路正常的情况下,分频器坏。CLK的波形幅度不够:查R3输出的幅度够不够,不够,分频器坏。够,查南桥的电压够不够,够南桥坏;不够,查电源电路。R1将分频器分过来的频率送给CPU的第六脚(在CPU上RST脚旁边,见图纸),这个脚为CPU 时钟脚。CPU如果没有时钟,是绝对不会工作的,CPU的时钟有可能是由北桥提供。如果南桥上有CLK信号而CPU上没有,就可能是分频器或南桥坏。R4为I/O提供频率。 在主板上,时钟线比AD线要粗一些,并带有弯曲。频率发生偏移,是晶体电容所导致的,它的现象是,刚一开机就会死机,运行98出错。分频器本身坏了,会导致频率上不上去。和晶体无关。CPU 的两边为控制处(位置见图),控制南桥和分频器,当频率发生偏移,会自动调整。 说明:此文选自江湖郎中主板维修,看后大有受益,推荐文友浏览。绝无剽窃之意。

数字钟电路设计

本次设计题目:数字钟电路设计 1 简述 数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。在控制系统中也常用来做定时控制的时钟源。 2 题目要求 (1)具用时、分、秒十进制数字显示的计时器功能; (2)具有手动校时、校分的功能; (3)通过开关能实现小时的十二进制和二十四进制转换; (4)具有整点报时功能。 主要集成芯片: 计时单元74160 报时单元74192 3 总体方案设计 数字钟由振荡器、分频器、计数器、译码显示、报时等电路组成。其中振荡器和分频器组成标准秒信号发生器,直接决定计时系统的精度。由不同进制的计数器、译码器和显示器组成计时系统。将标准秒信号送入采用60进制的“秒计数器”,每累计60sec就发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60min,发出一个“时脉冲”,该信号将被送到“时计数器”。“时计数器”采用12或24进制计数器,可实现对一天12h 或24h的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过6位7段译码显示器显示出来,可进行整点报时,计时出现误差时,可以用校时电路校时、校分。数字钟的原理框图如图2.1所示。

时显示器校分控制电路 校时控制电路秒计时器 分计时器时计时器秒显示器 分显示器报时分频 晶振 图2.1 数字钟原理框图 4 单元电路设计提示 本题目的设计采用自下而上的层次电路设计法。先设计单元电路,再设计总电路。 (1) 秒脉冲产生电路 秒脉冲产生电路在此例中的主要功能有两个:一是产生标准脉冲信号,二是可提供整点报时所需要的频率信号。可用1Hz 的秒脉冲时钟信号源替代。 V11 Hz 5 V 图2.2 1Hz 的秒脉冲时钟信号源 (2) 秒、分、时计时器电路 秒计时器本质上为对1Hz 的秒脉冲时钟信号源进行60进制计数的计数器,其由一个10进制计数器(个位)和一个6进制计数器(十位)串接组成。个位与十位计数器之间采用同步级联复位方式,将个位计数器的进位输出端RCO 接至十位计数器的时钟信号输入端CLK ,完成个位对十位计数器的进位控制。十位计数器选择Q B 和Q C 端做反馈端,经与非门输出至控制清零端CLR ,形成6进制计数形式。十位

内部时钟源

内部时钟源 1、内部时钟源结构介绍 该单片机的内部时钟源模块(ICS,The internal clock source)是比较有特色的,除了一般单片机所具有的外部时钟配置(时钟或低成本晶体振荡器)、可编程内部时钟参考(32kHz)之外,还有一个锁频环(FLL, frequency-locked loop),锁频环的输入信号可以来自外部,也可以来自内部参考,锁频环的输出与外部时钟和内部参考时钟三者之一通过一个可编程分频器(BDIV , reduced bus divider)最终得到内部时钟源模块的最主要输出ICSOUT,总线时钟为ICSOUT的二分频。时钟源模块内部结构以及输出时钟的应用情况参考图1和图2。

对时钟源模块的控制与配置离不开特殊功能寄存器,需要用到的寄存器中的一些位(bits)在图中也已经标出来了,通过这些控制位的选择,时钟模块的输出可以来自外部、内部参考或者锁频环的输出。即使时钟源的输出不经过锁频环FLL,锁频环既可以使能,也可以关闭(省电),种种情况归纳起来,时钟源模块有7种工作模式: FEI:FLL engaged internal mode; FEE:FLL engaged external mode; FBI:FLL bypassed internal mode; FBILP:FLL bypassed internal low power mode; FBE:FLL bypassed external mode FBELP:FLL bypassed external low power mode stop: 这7种工作模式中,前两个字母FE或者FB表示最终ICSOUT是否来自于锁频环,FE表示是,而FB表示FLL被跳过去了,ICSOUT可能来自外部也可能来自内部参考,取决于第三个字母是I(内部)还是E(外部)。有的模式中包含LP表示低功耗,也就是带LP的模式下锁频环被禁止,此时可以给BDC模块供电的,来自于FLL二分频输出的ICSLCLK不再存在。 2、内部时钟源控制寄存器介绍 对内部时钟源的控制与配置是通过2个控制寄存器、一个内部参考时钟调节寄存器以及一个状态与控制寄存器来实现的,对这些控制位的定义建议参考上述内部时钟源结构图。 1 (ICSC1) 内部时钟源控制寄存器

单片机数字钟电路图

数字钟设计 一、设计目的 1. 熟悉集成电路的引脚安排。 2. 掌握各芯片的逻辑功能及使用方法。 3. 了解面包板结构及其接线方法。 4. 了解数字钟的组成及工作原理。 5. 熟悉数字钟的设计与制作。 二、设计要求 1.设计指标 时间以24小时为一个周期; 显示时、分、秒; 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

2.设计要求 画出电路原理图(或仿真电路图); 元器件及参数选择; 电路仿真与调试; PCB文件生成与打印输出。 3.制作要求自行装配和调试,并能发现问题和解决问题。 4.编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 三、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图3-1所示为数字钟的一般构成框图。 图3-1 数字钟的组成框图

⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。

数字钟电路pcb设计讲解

摘要 本设计针对数字钟PCB板设计较为复杂的问题,利用国内知名度较高、应用最广泛的电路辅助设计软件protel99se进行了电路板的设计。本设计介绍了各部分电路的构成及准确完成了数字钟PCB电路板的设计。本设计数字钟原理图分析入手,说明了在平台中完成原理图设计,电气检测,网络表生成,PCB设计的基本操作程序。数字钟的主要电路是由电源电路、显示电路、校时电路、晶体振荡电路组成。PCB是电子元器件的支撑体,是电子元器件电气连接的提供者。PCB的设计是以电路原理图为根据,实现电路设计者所需要的功能。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。 关键词:数字钟;PCB;原理图;芯片

目录 前言 (1) 第一章绪论 (2) 1.1 数字钟的研究背景和意义 (2) 1.2 数字钟的发展和趋势 (2) 第二章系统电路的绘制 (3) 2.1 电路组成方框图 (3) 2.2 电路原理图制作 (3) 2.2.1 原理图环境设置 (4) 2.2.2 绘制原理图 (5) 2.2.3电气规则检查及网络表输出 (7) 2.3 原理图分析 (10) 2.3.1 晶体振荡器 (10) 2.3.2 分频器 (11) 2.3.3 计数器电路 (12) 2.3.4 显示和译码电路 (12) 2.3.5 电源电路 (13) 第三章电路板PCB设计 (14) 3.1 PCB设计规范 (14) 3.2 PCB设计流程 (17) 3.3 输出光绘文件 (21) 3.4 PCB制件作 (23) 心得体会 (25) 参考文献 (26) 附图 (27) 附表 (28)

前言 PCB(Printed Circuit Board),中文名称为印制线路板,简称印制板,是电子工业的重要部件之一。几乎每种电子设备,小到电子手表、计算器,大到计算机,通讯电子设备,军用武器系统,只要有集成电路等电子元器件,为了它们之间的电气互连,都要使用印制板。在较大型的电子产品研究过程中,最基本的成功因素是该产品的印制板的设计、文件编制和制造。印制板的设计和制造质量直接影响到整个产品的质量和成本,甚至导致商业竞争的成败。 Protel系列电子设计软件是在EDA行业中,特别是在PCB设计领域具有多年发展历史的设计界软件,由于其功能强大,操作简单实用,近年来成为国内发展最快。 Protel 99已不是单纯的PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和FPGA设计等,覆盖了以PCB为核心的整个物理设计。该软件将项目管理方式、原理图和PCB图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 随着计算机事业的发展,在信息化时代,电路设计中的很多工作都可以用计算机来完成。这样就大大减轻了设计人员的体力劳动强度,并且保证了设计的规范性准确性。而Protel99SE技术已越来越为人们所关注,人们利用protel99SE绘制各种原理图,进而制作出各种各样的科技产品已经成为当今世界的一个不可或缺的组成部分,所以说Protel99SE技术已越来越显得重要。

stm32时钟详解

在STM32中,有五个时钟源,为HSI、HSE、LSI、LSE、PLL。 其实是四个时钟源,如下图所示(灰蓝色),PLL是由锁相环电路倍频得到PLL时钟。 ①、HSI是高速内部时钟,RC振荡器,频率为8MHz。 ②、HSE是高速外部时钟,可接石英/陶瓷谐振器,或者接外部时钟源,频率范围为4MHz~16MHz。 ③、LSI是低速内部时钟,RC振荡器,频率为40kHz。 ④、LSE是低速外部时钟,接频率为32.768kHz的石英晶体。 ⑤、PLL为锁相环倍频输出,其时钟输入源可选择为HSI/2、HSE或者HSE/2。倍频可选择为2~16倍,但是其输出频率最大不得超过72MHz。

其中40kHz的LSI供独立看门狗IWDG使用,另外它还可以被选择为实时时钟RTC的时钟源。另外,实时时钟RTC的时钟源还可以选择LSE,或者是HSE的128分频。RTC的时钟源通过RTCSEL[1:0]来选择。 STM32中有一个全速功能的USB模块,其串行接口引擎需要一个频率为48MHz的时钟源。该时钟源只能从PLL输出端获取,可以选择为1.5分频或者1分频,也就是,当需要使用USB模块时,PLL必须使能,并且时钟频率配置为48MHz或72MHz。 另外,STM32还可以选择一个时钟信号输出到MCO脚(PA8)上,可以选择为PLL输出的2分频、HSI、HSE、或者系统时钟。 系统时钟SYSCLK,它是供STM32中绝大部分部件工作的时钟源。系统时钟可选择为PLL输出、HSI或者HSE。系统时钟最大频率为72MHz,它通过AHB分频器分频后送给各模块使用,AHB分频器可选择1、2、4、8、16、64、128、256、512分频。其中AHB分频器输出的时钟送给5大模块使用: ①、送给AHB总线、内核、内存和DMA使用的HCLK时钟。 ②、通过8分频后送给Cortex的系统定时器时钟。 ③、直接送给Cortex的空闲运行时钟FCLK。 ④、送给APB1分频器。APB1分频器可选择1、2、4、8、16分频,其输出一路供APB1外设使用(PCLK1,最大频率36MHz),另一路送给定时器(Timer)2、3、4倍频器使用。该倍频器可选择1或者2倍频,时钟输出供定时器2、3、4使用。 ⑤、送给APB2分频器。APB2分频器可选择1、2、4、8、16分频,其输出一路供APB2外设使用(PCLK2,最大频率72MHz),另一路送给定时器(Timer)1倍频器使用。该倍频器可选择1或者2倍频,时钟输出供定时器1使用。另外,APB2分频器还有一路输出供ADC分频器使用,分频后送给ADC模块使用。ADC分频器可选择为2、4、6、8分频。 在以上的时钟输出中,有很多是带使能控制的,例如AHB总线时钟、内核时钟、各种APB1外设、APB2外设等等。当需要使用某模块时,记得一定要先使能对应的时钟。 需要注意的是定时器的倍频器,当APB的分频为1时,它的倍频值为1,否则它的倍频值就为2。

电路组成与工作原理

X1226具有时钟和日历的功能,时钟依赖时、分、秒寄存器来跟踪,日历依赖日期、星期、月和年寄存器来跟踪,日历可正确显示至2099年,并具有自动闰年修正功能。拥有强大的双报警功能,能够被设置到任何时钟/日历值上,精确度可到1秒。可用软件设置1Hz、4096Hz或32768Hz中任意一个频率输出。 X1226提供一个备份电源输入脚VBACK,允许器件用电池或大容量电容进行备份供电。采用电容供电时,用一个硅或肖特基二极管连接到Vcc和充电电容的两端,充电电容连接到Vback管脚,注意不能使用二极管对电池充电(特别是锂离子电池)。切换到电池供电的条件是Vcc=Vback-0.1V,正常操作期间,供电电压Vcc必须高于电池电压,否则电池电量将逐步耗尽。振荡器采用外接32.768kH的晶体,产生的振荡误差可通过软件对数字微调寄存器、模拟微调寄存器的数值进行调节加以修正,避免了外接电阻和电容的离散性对精度的影响。4Kb的EEPROM可用于存储户数据。 电路组成及工作原理 X1226可与各种类型的的微控制器或微处理器接口,接口方式为串行的I2C接口。其中数据总线SDA是一个双向引脚,用于输入或输出数据。其漏极开路输出在使用过程中需要添加4.7~10kΩ的上拉电阻。本文介绍89C51单片机与X1226的接口方法,由于89C51单片机没有标准的I2C接口,只能用软件进行模拟。 图1 为了更直观地看到时间的变化,采用8位LED数码管显示年、月、日或时、分、秒,用PS7219A驱动LED数码管,数码管选择0.5英寸共阴极红色或绿色LED数码管。由于PS7219A器件含IMP810单片机监

控器件,复位输出高电平有效,因此在使用51系统时,无须添加监控器件,使用PS7219A的复位输出给51单片机复位即可,监控电压为4.63V。硬件设计原理图如图1所示。 在硬件通电调试过程中,不能用手去触摸X1226的晶体振荡器,否则可能会导致振荡器停振,恢复振荡器起振的方法是关闭电源(包括备份电源)后重新上电。另外需要说明的是,测量振荡器时,不要用示波器的探头去测量X2的振荡输出,应该用探头测量PHZ/IRQ的振荡输出,以确定是否起振和振荡频率是否准确,测量时建议在该脚加一个5.1kΩ的上拉电阻。 软件设计 X1226含实时时钟寄存器(RTC)、状态寄存器(SR)、控制寄存器(CONTROL)、报警寄存器(Alarm0、Alarm1)和客户存储数据的存储器。由于实时时钟寄存器和状态寄存器需要进行频繁的写操作,因此其存储结构为易失性SRAM结构。其他寄存器均为EEPROM结构,写操作次数通常在10万次以上。X1226初始化程序框图如图2所示,子程序YS4的作用是延时4μs。 图2

数字时钟显示电路图

数字时钟显示电路图 发布: | 作者: | 来源: liuxianping | 查看:3663次 | 用户关注: 数字时钟以时、分、秒显示时刻,共用六个数码管,本例采用共阳极数码管,用三极管控制电源的通断。工作原理:6个数码管的字型段输入端(a、b、c、d、e、f,g)全部并接到译码器相应的输出端。电源控制开关管分别接到3~6译码器的六个输出端。时钟六个计数器输出端均采用四位,分别为xl【、xt£、 m x?X2n x2z、x2h x2‘,?,x 、x x 、x 相应的每一位都接到4个6选1的选择器上,选择器输出共4位接到 数字时钟以时、分、秒显示时刻,共用六个数码管,本例采用共阳极数码管,用三极管控制电源的通断。 工作原理:6个数码管的字型段输入端(a、b、c、d、e、f,g)全部并接到译码器相应的输出端。 电源控制开关管分别接到3~6译码器的六个输出端。时钟六个计数器输出端均采用四位,分 别为xl【、xt£、 m x? X2n x2z、x2h x2‘,?,x 、x x 、x 相应的每一位都接到 4个6选1的选择器上,选择器输出共4位接到译码器的输入端(y 、y 、y 、Y )上。数码管及与之对应要显示的计数器,由Q]、、的编码(BCD码)进行循环选择例如,当Q 、 1

、均为?0 时,则3~6译码器的输出端1为高电平,第一个数码管加上电源,与此同 时,六选一选择器对应的输出分别为Y y— y Xs—x X —x 。这时译码器的输 出a,b,??,g虽然接到所有数码管上,但由于只有第一个数码管加上电源,故只有该管点 亮,显示第一个计数器的状态(x 、x 。、xX )。同理,当Q 、Q Q 为001”时,第二 个数码管点亮,显示第二个计数器的状态。依此类推,到第六个数码管断电后,接着第一个又开始点亮。如此循环显示,循环周期为6ms,给人的感觉,就相当所有数码管都一直在同时 加电,实际上每次只有一个,消耗的功率只有静态显示的六分之一。由于数码管电流很大,一 般小型管各段全亮时,大约要150mA~200mA 采用静电显示,此例中就要大于1A的 电流。这对长期工作的时钟很不经济,对于大型数码管会更加严重。此外,采用动态显示,数 码管的寿命与静态相比也相应延长Ⅳ 倍(本例为6倍)。

数字钟时钟电路图

目录 前言:....................................................................... 错误!未定义书签。 1.设计目的 (3) 2.设计功能要求 (3) 3.电路设计11111111111111111111111111111111111111111111 (3) 3.1设计方案 (3) 3.2单元电路的设计 (4) 3.2.1主体电路部分 (4) 3.2.1.1振荡电路 (5) 3.2.1.2 计数电路 (9) 3.2.1.3 校时电路 (14) 3.2.1.4译码与显示电路 (16) 3.2.2扩展功功能电路的设计 (18) 3.2.2.1定时控制电路 (18) 3.2.2.2 仿广播电台正点报时电路 (20) 3.2.2.3自动报整点时数电路 (21) 3.2.2.4触摸报整点时数电路 (22) 4.调试 (23) 4.1主体电路部分 (23) 4.2 扩展电路部分 (25) 5.总结 (27) 致谢 (28) 参考文献 (29) 附录 (30)

1.设计目的 设计一种多功能数字钟,该数字钟具有基本功能和扩展功能两部分。其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。扩展功能部分则具有:定时控制、仿广播电台正点报时、自动报整点时数和触摸报正点的功能。数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部电路实现。这两部分都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示,扩展部分要有相应的响应电路。分则由扩展 2.设计功能要求 基本功能: (1)时的计时要求为“12翻1”,分和秒的计时要求为60进制 (2)准确计时,以数字形式显示时,分,秒的时间 (3)校正时间 扩展功能: (1)定时控制; (2)仿广播电台报时功能; (3)自动报整点时数; (4)触摸报整点时数; 3.电路设计 3.1设计方案 根据设计要求首先建立了一个多功能数字钟电路系统的组成框图,框图如图1所示。

主板时钟电路工作原理

主板时钟电路工作原理 时钟电路工作原理: DC3.5V电源经过二极管和L1(L1可以用0Ω电阻代替)进入分频器后,分频器开始工作,和晶体一起产生振荡。在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450-700Ω之间。在它的两脚各有1V左右的电压,由分频器提供。晶体两脚产生的频率总和是14.318M。 总频OSC在分频器出来后送到PCI槽的B16脚和ISA槽的B30脚(这两个脚叫OSC 测试脚)。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC的线上还有电容,总频线的对地电阻在450-700Ω之间。总频的时钟波形幅度一定要大于2V。 如果开机数码卡上的OSC灯不亮,先查晶体两脚的电压和波形。有电压有波形,在总频线路正常的情况下,为分频器坏。若无电压无波形,在分频器电源正常的情况下,为分频器坏;有电压无波形,为晶体坏。 没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率,有了总频,南、北桥、内存、CPU、CACHE、I/O上不一定有频率。总频一旦正常,可以说明晶体和分频器基本正常,主要是晶体的振荡电路已经完全正常,反之就不正常。 当分频产生后,分频器开始分频,R2经分频器过来的频率送到南桥,在南桥处理过后送到PCI槽的B39脚(PCICLK)和ISA槽的B20脚(SYSCLK),这两脚叫系统时钟测试脚。这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1.5V。 在主板上,RST和CLK都是由南桥处理的。若总频正常,如果RST和CLK都没有,在南桥电源正常的情况下,为南桥坏。 主板不开机,RST灯不正常,要先查总频。如果在数码卡上有OSC灯和RST灯,没有CLK灯的话,先查R3输出的分频有没有。若没有,在线路正常的情况下,一般是分频器坏。如果CLK的波形幅度不够,那得先查R3输出的幅度够不够。若不够,一般为分频器坏。若够,查南桥的电压够不够。若够,南桥坏;不够,查电源电路。 R1将分频器分过来的频率送给CPU的第6脚(在CPU上RST较旁边,见图纸),这

相关文档
最新文档